SGM-A3C3NQ11
SGM-A3C3NQ11
产品价格:(人民币)
  • 规格:SGM-A3C3NQ11
  • 发货地:本地至全国
  • 品牌:
  • 最小起订量:1个
  • 免费会员
    会员级别:试用会员
    认证类型:未认证
    企业证件:未通过
    认证信息:未认证

    商铺名称:厦门雄霸电子商务有限公司

    联系人:颜小青(小姐)

    联系手机:

    固定电话:

    企业邮箱:3507449066@qq.com

    联系地址:思明区

    邮编:

    联系我时,请说是在五金机电网上看到的,谢谢!

    商品详情
      MKS Instruments LPJ1-40-IK-CLHXXX Inline isolation Vacuum Valve ASM 50-125108A28
      Nikon ARB Blinds Unit SGM-A3C3NQ11 NSR-S202A Step-and-Repeat System Used Working
      Nikon 2nd Relay Front Optic Lens NSR-S202A Step-and-Repeat System Used Working
      Queensgate NS2300/A Position Sensor 4S587-005 NSR-S205C System Used Working
      Queensgate NS2300/D Position Sensor Unit Nikon 4S288-213-1 NSR-S307E DUV Used
      Queensgate Instruments NS2300/E Controller & Cable 4S288-214-1 NSR-S205C Used
      QI Queensgate Instruments NS2300D Controller 4S288-213-1 NSR Used Working
      Queensgate NS2300/A Position Sensor Unit Nikon 4S587-005 NSR-S307E DUV Used
      Queensgate NS2303/A Position Sensor Unit Nikon 4S288-271 NSR-S307E DUV Used
      Nikon Reticle Fine Stage MSC NSR-S205C Step-and-Repeat Exposure System Used 
      Nikon Illumination Uniformity Control IUC 1st Relay Optic Lens NSR-S204B Used
      Nikon 2nd Relay Rear Optic Lens NSR-S204B Step-and-Repeat Scanning Used Working 
      Queensgate Instruments NS2300/E Controller 4S288-214-1 Nikon NSR-S205C Used
      Queensgate Instruments NS2303/B Controller 4S288-279 Nikon NSR-S205C Used
      Nikon WT VCM Voice Coil Motor Set of 3 4B043-916 NSR-S307E DUV 300mm Used
      Nikon TYPE 4 WT Relay AMP NSR-S204B 200mm Used Working
      Nikon TYPE 4A WT Relay AMP NSR-S204B 200mm Used Working
      Technical Instruments 015-00013-AA Reticle Measurement Microscope AMS 310 As-Is
      Nikon WT Linear Scale Read Head Set of 3 NSR-S307E DUV 300mm Used Working
      Nikon WT Linear Scale Set of 3 NSR-S307E 300mm DUV Scanning System Used Working
      Nikon 300mm Pin Chuck NSR-S205C Step-and-Repeat Exposure System Used Working
      Nikon S204 Ceramic Pin Chuck 12in 300mm NSR-S204B Step-and-Repeat System Used
      Nikon NCPre2 Non-Contact Prealign 2 Unit NSR-S204B Used Working
      Nikon 300mm Pin Chuck 12 Inch NSR-S306C 300mm DUV Scanning System Used Working
      Nikon NCPre2 Non-Contact Prealign 2 Unit NSR-S204B Step-and-Repeat System Used 
      Nikon 2FE 2nd Fly's Eye Lens SHRINC Revolver NSR-S204B System Used Working
      Nikon 4G746-103 AIS/BFP Plate NSR-S307E 300mm DUV Scanning System Used Working
      Nikon 2nd Relay Rear Optic Lens NSR-S202A Step-and-Repeat System Used Working
      iH600 Edwards A590-20-945 Dry Vacuum Pump Package Tested Working New Surplus
      Nikon Wafer Loader Pre2 Detector VB-001 Unit NSR-S204B System Used Working
      IGX6/100L Edwards NRB2-46-358 Dry Vacuum Pump 200V New Surplus
      iL70N Edwards NRB446945 Dry Vacuum Pump NRB4-46-945 IL 70 N New Surplus
      Nikon WS Shock Absorber Left (RFC) NSR-S205C Exposure System Used Working
      Nikon WS Shock Absorber Right (RFC) NSR-S205C Exposure System Used Working
      iGX6/100m Edwards NRB246458 Dry Vacuum Pump IGX 6/100 M Factory Refurbished
      FEI Company 4022 262 26331 CLM Column SEM Assembly CLM-3D 4022 268 00581 Used
      Nikon KBB17351 2FE  2nd FE Lens NSR-S204B Step-and-Repeat System Used Working
      SMC HRZ002-L1 Thermo Chiller Series HRZ Used Tested Working
      Nikon Illumination Uniformity Control IUC Relay Lens 4S602-275 NSR-S202A Used
      Nikon WS Shock Absorber Right (RFC) NSR-S307E DUV 300mm Used Working
      Nikon WS Shock Absorber Left (RFC) NSR-S307E DUV 300mm System Used Working
      Nikon WS Shock Absorber Left (RFC) NSR-S205C Used Working
      SMC HRZ010-WS-Z Thermo Chiller Series HRZ Used Tested Working
      Nikon WS Shock Absorber Right (RFC) NSR-S205C Used Working
      SVG Lithography Systems Micrascan DUV Lithography System ASML Parts Tool As-Is
      Ernst Leitz 060-693.001 Mask Comparator Microscope 060-678.503 Untested As-Is
      Nikon S58 Active Temperature Control Unit NSR-S307E 300mm DUV Used Working
      ASM 04-404382-01 Assembly Kit RFK-V3 LPV HIG 700 LH New
      ASM Advanced Semiconductor Materials 16-141270-01 300mm ATM Process Chamber New
      Nikon Wafer Stage Optics Pieces Lot of 5 NSR-S204B Used Working
      Sony 1-677-707-13 PCB DPR-LS22 4S018-852-3 Nikon NSR-S307E Used Working
      Nikon 4S018-852-3 Detector Board PCB EP-GW 1-677-707-13 NSR-S205C Used Working
      Nikon NSR 4S018-852-3AN BD92A Detector Board VME Card PCB DPR-LS22  New
      Sony 1-677-707-13 LS Ctrl PCB DPR-LS22 Nikon 4S018-852-2 Nikon NSR Used Working
      iH1800 SYSTEM Edwards A533-25-908 Dry Vacuum Pump 1 Hour Refurbished
      Komatsu 20001920 Thermo Module MCU-02TM TEL Lithius Interface Block IRAM Used
      SMC HRZ010-WS-Z Thermo Chiller Series HRZ Cosmetic Damage Used Tested Working
       Mitsubishi RV-E14NHC-SA Industrial Wafer Robot CR-E356-S06 Used Working
      iGX600M Edwards A591-32-958 Dry Vacuum Pump A59132958 New Surplus
      iGX600L Edwards A54630958 Dry Vacuum Pump IGX 600 L Factory Refurbished
      Nikon VB-001 Wafer Loader Pre2 Detector Board PCB ABC Lot of 3 NSR-S204B Used
      Nikon 4S065-171 IU-IOP1 Controller NSR-S205C Used Working
      Genmark GENCOBOT 4/3L Wafer Handling Robot Ultratech Stepper 4700 Titan Used
      Nikon 4S064-413-1 Controller IU-IOP2 NSR-S205C Step-and-Repeat Used Working
      Allied 10-1000 MultiPrep TechPrep Precision Polishing System Used Tested Working
      Nikon 4S064-412 Controller IU-IOP1 NSR-S205C Step-and-Repeat Used Working
      Nikon 4S064-363 Beam Matching Unit Controller BMU-IOP NSR-System Used Working
      Nikon 4S065-172-1 IU-IOP2 Controller NSR-S205C Used Working
      Ebara ESR200WN Multi-Stage Dry Vacuum Pump ESR Series Tested New Surplus
      iH1800 HEAC Edwards A533-25-945 Dry Vacuum Pump HMB1800 Used Tested Working
      iH1000 HEAC Edwards A533-42-945 Dry Vacuum Pump System HCDP80 Refurbished
      Steag Hamatech NH4OH Mediaport Chemical Cabinet with Transformer AC Box Set Used
      Nikon MH-15M-CCB AF Halving Motor/Digimic Receive Side TC-214008G NSR-S307E Used
      Nikon MH-15M-CCB AF Halving Motor/Digimic Send Side TC-214008G NSR-S307E Used
      Kawasaki NS110C-B001 Chuckbot Robot 4K192-238-4 NSR-S307E 300mm DUV Used
      Nikon 4S081-502-1 Sub Alignment Controller 8213/VME NSR-S205C Used Working
      Nikon 8213/VME Sub Alignment Controller NSR-S205C Used Working
      Yaskawa CLSR-0420-N2CD-1 Linear Motor Controller Nikon 4S587-668-1 NSR Used
      Nikon 4S013-487 Interface Board PCB IFIOPIF3 VME Card 4S015-259 NSR-S307E Used
      Yaskawa 4S587-668 Linear Motor Controller CLSR-0420-N2CD NSR Used Working
      Nikon 4S013-487 Interface Board PCB IFIOPIF3 VME Card 4S015-259 NSR-S205C Used
      iH600 NEW LK Edwards A533-51-945 Dry Vacuum Pump System iH 600 Refurbished
      Edwards NRB086000 Helios Gas Abatement Unit Used Working
      JEOL EM-21020 Standard Room Temperature Retainer Specimen Holder JEM-2010F Used
      FEI Company 4022 268 00588 SEM HTSU CLM Controller Column Electron CLM-3D Used
      AMAT Applied Materials 0040-81919 Lower Chamber DPS ME
      iH600 Edwards NRB4-47-945 Dry Vacuum Pump Package HCDP80 HCMB600 Refurbished
      AMAT Applied Materials Al203 Coated Upper Chamber (R) DPS Poly
      Nikon KBB11350 2FE 2nd Fly's Eye Lens SHRINC Revolver NSR-S202A System Used
      Nikon Reflectance Sensor NSR-S307E 300mm DUV Scanning System Used Working
      ATH2303M Adixen XY6621H0 Turbomolecular Pump Turbo 10360 Hrs Used Tested Working
      ATH2303M Adixen XY6621H0 Turbomolecular Pump Turbo 1414 Hrs Used Tested Working
      ATH2303M Adixen XY6621H0 Turbomolecular Pump Turbo 7016 Hrs Used Tested Working
      ATH2303M Adixen XY6621H0 Turbomolecular Pump Turbo 8353 Hrs Used Tested Working
      ATH2303M Adixen XY6621H0 Turbomolecular Pump Turbo 1415 Hrs Used Tested Working
      Nikon 4S587-625 AVIS2 ERG AMP 4S013-374-2 SEA241A NSR-S204B Scanning System Used
      Nikon 4S005-378 Integrator Sensor 4S005-378-INTG-X4 NSR-S205C Exposure Used
      Nikon 4S587-625 AVIS2 ERG Amplifier SEA241A NSR-S307E DUV 300mm Used Working
      Nikon 4S587-624 AVIS1 ERG Amplifier SEA141A 4S013-374-1 Used Working
      Asahi 130NK 3-2A AVIS2 ERG AMP Nikon 4S013-374 4S587-011-1 Used Working
      Nikon 4S587-624 AVIS1 ERG AMP SEA141A NSR-S205C Step-and-Repeat Used Working
      Asahi 130NK 3-1A AVIS1 ERG AMP Nikon 4S587-016-1 NSR-S205C Used Working
      Asahi 130NK 3-2 AVIS2 ERG AMP Nikon 4S587-011 Used Working
      Yaskawa CLSR-64-N2CD-1 Linear Motor Controller Nikon 4S064-211-5 NSR-S204B Used
      Yaskawa CLSR-64-N2CC Linear Motor Controller Nikon 4S064-211-1 NSR-S204B Used
      Yaskawa CLSR-4590-N2CD Linear Motor Controller 4S586-958-5 Nikon NSR-S204B Used
      Newport PM33LR0193 X-Y Platform Stage Controller and Remote Console PM500-C
      Novellus Systems R02-351245-00 Clamshell Drive DP APC Ready Refurbished
      Nikon 4S064-419-4 User Interface OPD Panel NSR-S205C Used Working
      Nikon 4S588-087 Linear Motor Controller SPA355B NSR-S307E DUV Used
      Nikon 4S588-087 Linear Motor Controller SPA355B NSR-S307E DUV Used
      Nikon 4S588-087-1 Linear Motor Controller SPA355C RF NSR-S307E DUV Used Working
      Nikon 4S588-087 Linear Motor Controller SPA355C NSR-S307E DUV Used Working
      Nikon 4S005-394-1 Integrated Sensor INTG-EE3 NSR-S205C Used Working
      SMC HRZ010-WS-Z Thermo Chiller Series HRZ Tested Not Working No Power As-Is
      Varian 979 Series Helium Mass Spectrometer Leak Detector Used Tested Working
      TMH 1001 P Pfeiffer Vacuum PM P03 300 G Turbomolecular Pump TC600 New Surplus
      TEL Tokyo Electron 837 PRA Process Block Robotics Arm Assembly ACT12-200 Used
      Kawasaki TS220-D511S Wafer Handling Robot System 50607-1222 TS220 Refurbished
      Nikon 4B037-824-1AN WY-R Linear Motor 4B037-824-1 NSR-S205C New
      Nikon 4S013-487 Interface Control Board PCB IFIOPIF3 Used Working
      Molecular Analytics 5703121-96-30 AirSentry-IMS System AMI/ACID Incomplete As-Is
      Nicolet Instrument ECO8S FT-IR Infrared Spectrometer Used 
      Spicer Consulting SC12 Field Cancelling System with Sensor FEI CLM-3D TEM Used
      Yaskawa 4S064-213-1 Linear Motor Controller CLSR-A513-N2SB Nikon NSR-S204B Used
      JEOL EM-2011F FEG HT High-Tension Voltage Tank JEM-2010F JOBI Used Working
      Nikon Z-A86974C WJ Wafer Transfer Robot Assembly NSR-S306C Used Working
      Nikon 1FE 1st Fly's Eye Lens Revolver 135053 NSR-S202A System Used Working
      CTI-Cryogenics 3620-00503R IS-1000 Compressor LV AMAT Used Tested Working
      Steelhead 5 Thermo Electron 620018991718 Heater Exchanger Neslab Tested Working
      CTI-Cryogenics 3620-00317 On-Board IS-1000 Compressor AMAT Used Tested Working
      SMC INR-497-001 Dual Channel Thermo Chiller Galden Only Tested Used Working
      SMC INR-497-001B Dual Channel Thermo Chiller Galden Only Tested Used Working
      Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Used Working
      CTI-Cryogenics 3620-00503 IS-1000 Compressor LV AMAT Used Tested Working
      Yaskawa Electric XU-RSM0220 Dual Arm Wafer Handling Robot Ebara EPO-222T Used
      ATH1603M Adixen 112745 Turbomolecular Pump 35960 Hours Used Tested Working
      ATH1603M Adixen YY5621H0 Turbomolecular Pump 1410 Hours Used Tested Working
      ATH1603M Adixen YY5621H0 Turbomolecular Pump 1415 Hours Used Tested Working 
      ATH1603M Adixen 112745 Turbomolecular Pump 35954 Hours Used Tested Working
      ATH1603M Adixen YY5621H0 Turbomolecular Pump 8774 Hours Used Tested Working
      ATH2303M Adixen 112745 Turbomolecular Pump 35989 Hours Used Tested Working
      Verteq 1800-6 Dual Bay 200mm Wafer SRD Spin Rinse Dryer System Used Working
      HP Hewlett-Packard 85110A S-Parameter Test Set with 85102B and 85101C Used
      AMAT Applied Materials 0010-00591 150mm Stretch Flat Finder ASM 4645213-0001 New
      ASM Advanced Semiconductor Materials 16-401656-01 Ceramic DUCT-EXHAUST SHD New
      Heateflex Corporation RMA-12-088A LHM Inline Heater New
      iL600N Edwards NRB447945 Dry Vacuum Pump Copper Prepared New Surplus
      Heateflex Corporation LHM-1-06-C-A20-110 In-Line Heater New
      Sony 1-681-861-12 DPR-LS23 Circuit Board PCB Nikon NSR-S307E Wafer Loader Used
      Agilent 10898-68002 Dual Laser Axis PCB 10898A VME NSR-S307E Used Working
      Agilent 10898-68002 Dual Laser Axis PCB Card 10898A VME NSR-S205C Used Working
      Sony 1-861-113-11 DPR-LS35 Circuit Board PCB Nikon NSR-S307E Wafer Loader Used
      Nikon 4S587-473-1 Linear Motor Controller SPA355A NSR System Used Working
      Yaskawa 4S064-211-4 Linear Motor Controller CLSR-64-N2CC-1 Nikon NSR-S204B Used
      Nikon 4S587-408-3 Linear Motor Controller XU-DV0903V NSR-S307E DUV System Used
      SMC INR-497-032 Thermo Chiller Heat Exchanger Used Tested Working
      Yaskawa 4S064-209-3 Linear Motor Controller CLSR-1B-N2CD-1 Nikon NSR-S204B Used
      Yaskawa 4S064-210 Linear Motor Controller CLSR-1B-N2CC Nikon NSR-S204B Used
      Yaskawa 4S064-209-1 Linear Motor Controller CLSR-1B-N2CD Nikon NSR-S204B Used
      Yaskawa 4S587-295 Linear Motor Controller Nikon NSR XU-DV0802V Used Working
      Yaskawa 4S064-209 Linear Motor Controller CLSR-1B-N2CB Nikon NSR-S204B Used
      Yaskawa XU-DV0804V Linear Motor Controller Nikon 4S587-295-2 NSR-S204B Used
      Yaskawa 4S064-210-1 Linear Motor Controller CLSR-1B-N2CE Nikon NSR-S204B Used
      Yaskawa 4S064-210-3 Linear Motor Controller CLSR-1B-N2CE-1 Nikon NSR-S204B Used
      Yaskawa 4S064-210-2 Linear Motor Controller CLSR-1B-N2CC-1 Nikon NSR-S204B Used
      Yaskawa 4S064-209-2 Linear Motor Controller CLSR-1B-N2CB-1 Nikon NSR-S204B Used
      TEL Tokyo Electron 5085-404811-11 Career Station Robot Assembly Lithius Used
      Newport 35-3700-1425-18 Interface Block Robot Assembly AMAT Centura 300mm Used
      SMC INR-497-001 Dual Channel Thermo Chiller Tested With Faults As-Is
      iL70N Edwards A533-55-945 Dry Vacuum Pump 1 Hour Copper Cu Exposed Refurbished
      iL600N NL Edwards A53356945XS Dry Vacuum Pump 1 Hour Run Time Refurbished
      iL70N Edwards A53355945 Dry Vacuum Pump 1 Hour Copper Cu Exposed Refurbished
      iL70N Edwards NRB446945XS Dry Vacuum Pump 1 Hour Run Time Tested Refurbished
      MKS Instruments PBTS1A11UB2 Portable Baratron System PBTS1A Used Tested Working
      KLA-Tencor AIT I Surfscan Inspection Scanning Lens Assembly 315974 284726 Used
      Nikon 2S700-664 Prealigner Optical Sensor Assembly 2S700-536 OPTISTATION 3 Used
      Shinwa T&H-ME1-1AAZZZZ-01 Temperature Humidity System No Panel Untested As-Is
      MDX-2.5K AE Advanced Energy 3152224-039A Magnetron Drive Nordiko Refurbished
      MDX AE 3152194-004 Magnetron Drive 30kW Master Slave Set MRC Eclipse Star Used
      SCHUMACHER 1483-0401A V MDOT Delivery System, Model VMD-AVP New
      St?ubli RX60 Unimation 6-Axis Robot Arm System CR7MB Zygo ARMI Used Working
      TEL Tokyo Electron 5085-407036-19 Process Block Robotics Arm PRA Lithius Used
      ASM 02-184406-01 ASSY-PWR CABINET DUAL PULSAR
      iGX100M Edwards NRB2-46-258 Dry Vacuum Pump 2 Hours 3mTorr Used Tested Working
      Wafer Holdings Inc TNK7A0112 Transducer 4 Wire Single Plate New
      Harmonic Drive RH-11D-3001-E100AL Servo Actuator REX Z Nikon NSR-S205C Used
      EPX 180LE Edwards A419-43-712 High Vacuum Dry Pump HiVac Series New Surplus
      Yaskawa XU-DV0802H Linear Motor Controller 4S587-294 Nikon NSR System Used 
      Nikon 4S587-467-1 Linear Motor Controller SPA256A ISH NSR System Used Working
      Nikon 4S588-085 Linear Motor Controller SPA256B NSR-S307E DUV System Used 
      SMC INR-497-049 Dual Channel Thermo Chiller Used Tested Working
      Brooks Automation 151865 Wafer Handling Robot Reliance ATR8 Refurbished 
      TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12-300 300mm Used Working
      STP-A3003CV Edwards PT43-96-040 Turbomolecular Pump Used Tested Working
      DRYVAC2 100 P Leybold 13885 Dry Vacuum Pump 6 mTorr Used Tested Working
      Nikon 4S015-119 Control Rack OPD Chassis 4S001-060 4S018-716 NSR-S307E Used
      Nikon Pellicle Particle Detector PPD 4S007-609 NSR-S204B Step-and-Repeat Used
      Nikon Reticle Exchange Assembly REX RH-11C-3001-E100D0 NSR-S204B Used Working
      NSK 51161-802-001 Interface Block Robot Full IRAM Assembly TEL Lithius Used
      AMAT Applied Materials M8-0609-04 Upper Chamber
      ASM 16-321825E01 WELDMENT-PROCESS CHAMBER-200MM-ATM New Surplus
      Lam Research 02-287782-00 PED Assembly Machined Finish New
      Fujitsu CA05177-899200UW Hard Drive Assembly MPD3043AT-UW Nikon NSR-S204B Used
      HD Systems RH-11D-3001-E100AL DC Servo Actuator REX Z-Axis NSR-S307E DUV Used
      Nikon 4B043-917 WT Voice Coil Motor VCM NSR-S307E DUV 300mm Used Working
      Nikon 4B043-918 WT Voice Coil Motor VCM NSR-S307E DUV 300mm Used Working
      TEL Tokyo Electron Loader Module Control Chassis T-3044SS Used Working
      TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12 200mm Used Working
      DRYVAC2 100 P Leybold 13885 Dry Vacuum Pump 12 mTorr Used Tested Working
      Xycarb Ceramics 10365580 ASM RP Chamber with Ribs Refurbished
      Xycarb Ceramics 10314665 Chamber with Rib ASM 4649710-0002 Refurbished
      Xycarb Ceramics 10343080 150mm Long Tube Chamber ASM 4659256-002 Refurbished
      Xycarb Ceramics 10341975 Chamber with Ribs Refurbished
      AMAT Applied Materials Quantum Leap III Process Module Wheel Used Working
      Yaskawa CLSR-4590-N2CC Linear Motor Controller Nikon 4S586-957-5 NSR-S205C Used
      Brooks Automation 138502 Wafer Handling Robot Reliance ATR8 Used Working
      Brooks Automation 121669 Wafer Handling Robot Reliance ATR8 Used Working
      Yaskawa Electric XU-RC350D-D91 Dual Arm Wafer Handling Robot New Surplus
      Brooks Automation 151865 Wafer Robot Reliance ATR8 Lam 27-353059-00 Copper Used
      Nikon 4S066-591-4 RY-LD Amplifier SPA474J NSR System Used Working
      Nikon OPTISTATION 3 150mm Wafer Inspection Metrology System Used Working
      Spectrum B-5002 ENI B-5002-01 RF Generator Rev. E AMAT 0920-00062 Refurbished
      CTI-Cryogenics 8135900G001R 9600 Compressor 45227 Hours Used Tested Working
      EPX180L Edwards A419-41-152 Vacuum Dry Pump EPX 180L Not Working As-Is
      CTI-Cryogenics 8135900G001 9600 Compressor 75056 Hours Used Tested Working
      CTI-Cryogenics 8135900G001 9600 Compressor 80992 Hours Used Tested Working
      CTI-Cryogenics 8135900G001 9600 Compressor 71040 Hours Used Tested Working
      Duran Industries Inc 10-000-1190 TANK IMTEC QUARTZ HEATED MODEL #QRT-S-K1 New
      Applied Ceramics 40-753-004-3 Disc Top Oblated Stripper Tegal New Surplus
      TEL Tokyo Electron IRA Interface Robotics Arm ACT12 300mm No Pincette Used
      Yaskawa 4S061-690-1 Linear Motor Controller CLSR-18-N2CC Nikon NSR-S202A Used
      Yaskawa 4S061-688-1 Linear Motor Controller CLSR-33-N2CC Nikon NSR-S202A Used
      TEL Tokyo Electron 5085-404811-11 Career Station Robot No Effector Lithius Used
      Meiden UA023/350A Lithius Career Station Controller TEL Tokyo Electron Used
      ASM 1018-538-01 Moisture Analyzer Connection New
      Nikon WT Linear Scale Read Head NSR-S307E DUV 300mm System Used Working
      TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12 200mm No Effector Used
      TEL Tokyo Electron CRA Cassette Block Robotics Arm ACT12 200mm Used Working
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 12354 Hr Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 9475 Hr Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 9414 Hr Used
      Kashiyama SD90V III Single Stage Screw Vacuum Dry Pump Used Tested Working
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 7378 Hr Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 11359 Hr Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 10195 Hr Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 9616 Hr Used
      TMH 261 YPX Pfeiffer PM P03 532 Turbomolecular Pump TC750-E74 Turbo Used Working
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 10893 Hr Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 8793 Hr Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 12844 Hr Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 9161 Hr Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.2:1 0.95" 9556 Hr Used
      Nikon WT Linear Scale NSR-S307E 300mm DUV Scanning System Used Working
      AMAT Applied Materials Column and Cable Set SemVision cX 300mm Used Working
      B/E Aerospace 1231-CCN-GL-002 Temperature Control Unit AMAT 0242-07590 Used
      AMAT Applied Materials 0010-14714 4-Port TP UPA 0100-01222 Rev. 03 Copper
      AMAT Applied Materials 0010-14714 4-Port TP UPA 0100-01222 Rev. 001 Copper Used
      TEL Tokyo Electron PR300Z Robot Assembly with 300mm Wafer Handler Copper Used
      iQDP80 Edwards IQ7150204xs Dry Vacuum Pump QMB1200 Copper Used Tested Working
      Nikon S36 TC Temperature Control Rack NSR-S204B Step-and-Repeat Scanning As-Is
      VAT 14046-PE44-0006 Pneumatic High Vacuum 10" Gate Valve Refurbished
      VAT 02009-ZA24-1006 Rectangular Slit Valve Monovat New Surplus
      ASM 1071-018-02 ASSY-PVM CTR PORT MET SEAL NO HTR ELEM
      TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used
      TEL Tokyo Electron ADH Adhesion Process Station Lithius 300mm Used Working
      TEL Tokyo Electron DEV Develop Process Station Assembly Lithius Used Working
      TEL Tokyo Electron LHP Low Temperature Hot Plate Process Station Lithius Used
      M and W Systems RPC/28W-RNB Flowrite Recirculating Chiller Used Tested Working
      TEL Tokyo Electron CRA Career Station Block Robotics Arm Lithius Used Working
      TEL Tokyo Electron 5087-402251-17 CPHP General Chamber CPHG Type 1 Lithius Used
      TEL Tokyo Electron 5087-402251-17 CPHP General Chamber CPHG Type 2 Lithius Used
      TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working
      TEL Tokyo Electron 850 TCP Transition Chill Plate Process Station ACT12-300 Used
      TEL Tokyo Electron 848 TCP Transition Chill Plate Station Right ACT12-300 Used
      TEL Tokyo Electron 5087-403675-12 Interface Block Robotic Arm Sub Lithius Used
      TEL Tokyo Electron 846 CPL Chill Plate Process Station ACT12 200mm No Cover Used
      TEL Tokyo Electron 384 ADH Pin Stand Station 2985-403464-W1 ACT12 200mm Used
      TEL Tokyo Electron 847 ADH Adhesion Process Station ACT12-300 300mm Used Working
      TEL Tokyo Electron 847 ADH Adhesion Process Station ACT12-300 w/Encloser Used
      NSK 51161-802-001 Interface Block Robot IRAM TEL Lithius with End Effector Used
      TEL Tokyo Electron AQA-K09-TYP2 Chiller Used Tested Working
      TEL Tokyo Electron WCPL/TRS Water Controlled Chill Plate Process Lithius Used
      Thermo Neslab 622023991801 Heat Exchanger DIMAX 58201 Hours Used Tested Working
      TEL Tokyo Electron 848 TCP Transition Chill Plate Station Left ACT12-200 Used
      TEL Tokyo Electron 849 CHP Chilling Hot Plate Process ACT12-200mm Used Working
      TEL Tokyo Electron 2985-411180-W6 Cool Plate Module ACT12 300mm Used Working
      Yaskawa 4S061-689-1 Linear Motor Controller CLSR-33-N2CD Nikon NSR-S202A Used
      FujiFilm 888222 GenStream I/II Degasser and Code Cable Delivery System New
      TEL Tokyo Electron 845 CPL Chill Plate Process Station ACT12-300 No Cover Used
      TEL Tokyo Electron 849 CHP Chilling Hot Plate Process Station 200mm ACT12 Used
      Matsushita Seiki M-795 Pellicle Stand Alone Measurement System Used Working
      Zeiss 45 28 11 Axiotron Wafer Inspection Microscope Assembly Nidek IM-11 Used
      NSK 51161-802-001 Interface Block Robot IRAM TEL Lithius No End Effector Used
      TEL Tokyo Electron PB1-U125-010-7 AC Power Box Clean Track ACT8 Untested As-Is
      Genmark Automation GB3 Wafer Handling Robot GencoBot 3 GBIII Refurbished
      HEATEFLEX CORP QHA-5.6-0 In-Line Heater
      MDX AE 3152194-013 Magnetron Drive 20kW Delta Master Slave Set MRC Eclipse Used
      Yaskawa 4S061-689-4 Linear Motor Controller CLSR-33-N2CD-1 Nikon NSR-S204B Used 
      Inovys in2-IVOCELOT Channel SOC Tester Ocelot 512 inTEST 992797 Untested As-Is
      Dux 4S064-513 Computer 4D01A0072 Nikon NSR
      Nikon WD Wafer Loader Robot with Z Axis Motor NSR-S204B Scanning System Used
      Yaskawa YR-CRJ3-A00 Robot MOTOMAN TEL Tokyo Electron PR300Z Cables Copper Used
      TEL Tokyo Electron PR300Z Wafer Robot Assembly 300mm 1B80-001529-11 Copper Used
      AMAT Applied Materials Quantum Leap 2 Ion Implanter Wheel Used Working
      Varian K4816-307 18" High Vacuum Diffusion Pump VHS-400 K4816307 Untested As-Is
      iH80 SYSTEM Edwards A533-81-945 Dry Vacuum Pump Tested Not Working As-Is
      Glassman High Voltage PS/KL030N100YU6 Power Supply Series KL Used Working
       Nikon 4S007-692-1 Pre-Align Assembly NSR-S204B Step-and-Repeat System Used
      AMAT Applied Materials ICT 50309050000 SEM Electron Column SV300 LT Used
      Nikon 4S015-172-1 Processor Control Board PCB NK-C441-1-50 Used Working
      Nikon 4S587-472-2 Linear Motor Controller SPA454B NSR System Used Working
      Nikon 4S587-469-3 Linear Motor Controller SPA452A NSR System Used Working
      Yaskawa 4S064-211-4 Linear Motor Controller CLSR-64-N2CC NSR-S204B Used Working
      Nikon 4S588-065 Linear Motor Controller MSCR SPA454D NSR System Used Working
      Nikon 4S588-063 Linear Motor Controller SPA451B NSR System Used Working
      Cymer S05-09005-05 Stabilization Module Used Working
      Nikon 4S015-172-1 Processor Card PCB NK-C441-1-50 NSR-S205C Used Working
      Nikon 4S587-241 Linear Motor Controller SPA431B NSR System Used Working
      Nikon 4K177-955-4 RD Reticle Transfer Robot With End Effector NSR-S204B Used
      Nikon 4K177-955-1 RD Reticle Transfer Robot With End Effector NSR-S204B Used
      Nikon 4K177-955-3 RD Reticle Transfer Robot With End Effector NSR-S204B Used
      Nikon 4K177-955-2 RD Reticle Transfer Robot With End Effector NSR-S204B Used
      Nikon Wafer Stage Chuck Main Body NSR-S204B Used Working
      Spectrum B-5002 ENI B-5002-01 RF Generator AMAT 0920-00062W Used Tested Working
      Extraction 4022.486.75471 Charcoal Molecular Base Filter System ESI004802 Used
      Yaskawa XU-DV0705Z Linear Motor Controller 4S586-561-6 Nikon NSR-S204B Used
      Yaskawa XU-DV0704X Linear Motor Controller 4S586-560-4 Nikon NSR-S204B Used
      Nikon AIS Aerial Image Sensor NSR-S204B Step-and-Repeat System Used Working
      Yaskawa 4S064-211-2 Linear Motor Power Amp CLSR-64-N2CD Nikon NSR-S204B Used
      Nikon VB-001 Wafer Loader Pre2 Detector Board PCB NSR-S204B Used Working
      FEI Company 4022 262 3649 IGPeg Module CLM-3D 200mm CLM DualBeam Used Working
      Nikon Reflectance Plate NSR-S204B Step-and-Repeat Scanning System Used Working
      Yaksawa XU-DV0705X Linear Motor Controller 4S586-560-5 Nikon NSR-S204B Used
      Yaskawa XU-DV0704Z Linear Motor Controller 4S586-561-4 Nikon NSR-S204B Used
      Nikon BFP Basic Flat Plate NSR-S204B Step-and-Repeat System Used Working
      JEOL 376515-1 SIP Power Supply GUN-60L Pump JEM-2010F TEM Used Working
      FEI Company 4022 262 3649 IGPic Module CLM-3D 200mm CLM DualBeam Used Working
      FEI Company 4022 262 3649 IGPec Module CLM-3D 200mm CLM DualBeam Used Working
      Yaskawa XU-DV0702X Linear Motor Controller 4S586-560-2 Nikon NSR-S204B Used
      Nikon 4K577-176 Integrator Sensor NSR-S204B Step-and-Repeat System Used Working
      Nikon Ring Chuck 200mm NSR-S204B Step-and-Repeat Scanning System Used Working
      nXDS10i Edwards A736-01-983 Oil-Free Dry Scroll Vacuum Pump A73601983 New
      FEI Company 4035 272 55591 System Control Rack Computer SBC 200mm CLM-3D Used
      Nikon 4G746-049 Wafer Stage Fiducial Plate NSR-S204B System Used Working
      Yaskawa XU-DV0705Z Linear Motor Controller 4S586-561-5 Nikon NSR-S204B Used
      Nikon Low Reflectance Sensor NSR-S204B Step-and-Repeat Scanning System Used 
      PDX II AE Advanced Energy 3150310-100A Plasma Drive 2000 Used Tested Working
      JEOL 138712-1 SIP Power Supply Column Ion Pump JEM-2010F TEM Used Working
      JEOL DEF/LENS Power Supply Module MP002793(01) JEM-2010F TEM Used Working
      AMAT Applied Materials 0010-21403 Magnet Assembly
      AMAT Applied Materials 0040-38515 DPS-P-ESC Chuck (KAP-PS08F)
      Brooks Automation 002-7800-05 SMIF Express 200mm Wafer Load Port FEI CLM-3D Used
      Nikon 4K191-632-1 RH Reticle Transfer Robot NSR-S306C Used
      Invax Technologies 02425-011 Electrostatic Chuck 300mm PVD Upgrade New
      Nikon 4K177-955-2 RD Reticle Transfer Robot NSR Stepper Series Used Working
      Honeywell TSQUALWDX-07821 Sputtering Target 59Al/1Cu 7.830x11.640x13.050" New
      Nikon MH-15-041 AF Halving Motor/Digimic 4S554-041 NSR-S204B Used Working
      Nikon 4S588-064 Linear Motor Controller SPA454C 4S013-373-2 NSR-S307E DUV Used
      Nikon 4S588-065 Linear Motor Controller SPA454D MSCR NSR-S307E Used Working
      Nikon 4S588-064 Linear Motor Controller SPA454C MSCL NSR-S307E DUV Used Working
      Nikon 4S588-472-2 Linear Motor Controller SPA454B MSCR NSR-S307E DUV Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.8-2.5:1 9062 Hr Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.45-1.8:1 7412 Hr Used
      KLA Instruments 710-679375-001 KLA DP Display Processor PCB Card KLA 2138 Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.45-1.8:1 7412 Hr Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.8-2.5:1 6544 Hr Used
      KLA Instruments 710-679375-002 KLA DP Display Processor PCB Card KLA 2138 Used
      AMAT Applied Materials 0242-76879 Wall Street 5-PH W/O MLT SLT CLDN Centura Used
      Christie 38-DSP102-07 DLP Projector MATRIX S+2K M Lens 1.8-2.5:1 8359 Hr Used
      DRYVAC 50 P Leybold E13833 Dry Vacuum Pump 9 mTorr Used Tested Working
      AMAT Applied Materials 9240-04939 Control Chassis Rev A Quantum X PRA Used
      Novellus Systems 16-132590-00N SHWRHD Pinned 300mm WLDMNT Vector Used Working
      KoMiCo Drum Kit 5 Piece Upper Electrode Depo Ring Bellows Covers Refurbished
      KLA Instruments 710-650044-20 KLA DD Board PCB Card Rev DBO KLA-Tencor 2138 Used
      Nikon 4S050-552-3 15 Channel Piezo Driver Unit NSR-S307E Used Working
      VAT 10846-XE28-ANL1 UHV Gate Valve Cu Copper Exposed Used Working
      Nikon Wafer Center Table NSR-S204B Step-and-Repeat Used Working
      AMAT Applied Materials 0040-32530 IPS Upper Lamp Roof Cooling Plate Used
       AMAT Applied Materials 0010-76036 5000 Platform Mini-Contoller P5000 MKII Used
      Microbar Trackmate Chemical Cabinet Used Working
      TMH 1001 P Pfeiffer PM P03 300 G Turbomolecular 101179 Hrs Used Tested Working
      TMH 1001 P Pfeiffer PM P03 300 G Turbomolecular 101044 Hrs Used Tested Working
      TMH 1001 P Pfeiffer PM P03 300 G Turbomolecular 101621 Hrs Used Tested Working
      ASTRON ASTeX Applied Science AX7650 Remote Plasma Source Used Tested Working
      QMB1200 Edwards A305-86-905 Vacuum Booster 15237 Hrs. Copper Used Tested Working
      QMB1200 Edwards A305-86-905 Vacuum Booster 22250 Hrs. Copper Used Tested Working
      ASM Advanced Semiconductor Materials S33-2178 Eagle 300mm Susceptor Pedestal New
      ASTRON ASTeX AX7651-2 RPS Remote Source Plasma 231 Hours Used Tested Working
      ASTRON ASTeX AX7651-2 RPS Plasma AMAT 0920-00013 224 Hours Used Tested Working
      ASTRON ASTeX AX7651-2 RPS Remote Source Plasma 187 Hours Used Tested Working
      ASTRON ASTeX AX7651-2 RPS Plasma AMAT 0920-00013 238 Hours Used Tested Working
      ASTRON ASTeX AX7651-2 RPS Plasma AMAT 0920-00013 243 Hours Used Tested Working
      ASTRON ASTeX AX7651-2 RPS Remote Source Plasma 218 Hours Used Tested Working
      ATMI 201-10428-02 Guardian GS4 Thermal Oxidation Gas Scrubber Ecosys Used
      AMAT Applied Materials Quantum Leap 3 Process Module Wheel Used Working
      Nikon S-2A5208 Optical Block NSR-S204B Used Working
      AMAT Applied Materials 0010-19026 STD PVD Degas Chamber Quartz Viewpoint New
      AMAT Applied Materials 0010-15669 DPS ESC Assembly 0200-02814 0021-06243 New
      Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR Missing Cover Used Working
      Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR QC-20C-S44 Used Working
      Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR Brake Box Used Working
      Mitsubishi RV-E14NHC-SA06 Industrial Robot HTR Brake Box QC-20C-S44 Used Working
      Quartz International 03MRK001 Semitool Quartz Element Tube New Surplus
      Tektronix HFS9009 Stimulus System with K217S Cart
      AMAT Applied Materials 9090-01095 Controller Chasis Rev. A Used Working
      Nikon 4S586-950-4 Linear Motor Controller SPA432B NSR-S205C Used Working
      Nikon 4S900-174 Laser Scale Amp LDM790B NSR-S620D Used Working
      Hitachi M-712E Microwave Assembly Complete EC2 Daihen SMA-20B ES7-IIA Used
      Hitachi M-712E Microwave Assembly Complete EC1 Daihen SMA-20B ES7-IIA Used
      Newport Kensington 25-3700-1125-04 Wafer Robot Assembly 4000C Used Working
      iL70N Edwards NRB4-46-945 Dry Vacuum Pump 37715 Hours Used Tested Working
      iL70N Edwards NRB4-46-945 Dry Vacuum Pump -1 Hour Copper Exposed Tested Working
      iL70N Edwards A533-A5-945 Dry Vacuum Pump 45545 Hours Used Tested Working
      iL70N Edwards NRB4-46-945 Dry Vacuum Pump 44960 Hours Used Tested Working 
      iL70N Edwards NRB446945 Dry Vacuum Pump 0 Hour Copper Cu Exposed Tested As-Is
      iL70N Edwards NRB4-46-945 Dry Vacuum Pump 50310 Hours Copper Used Tested Working
      Shinko 3D80-000652-V3 LM-ARM-CONT(CE) Controller SCE92300006-3 TEL T-3044SS Used
      iL70N Edwards NRB4-46-945 Dry Vacuum Pump 50860 Hours Copper Used Tested Working
      iL70N Edwards A533-55-945 Dry Vacuum Pump Copper Exposed Used Tested Working
      Shinko 3D80-000652-V2 LM-ARM-CONT(CE) Controller SCE92300006-2 TEL T-3044SS Used
      Shinko BX80-0001-40-V1 LM-ARM-CONT(CE) Controller SCE92300107 TEL T-3044SS Used
      Yaskawa VS2B Robot and Controller Set DDMQF-SR2232IR TEL Unity II Used Working
      iL70N Edwards NRB446945 Dry Vacuum Pump Used Tested Working
      Brooks Automation 6-0002-0706-SPR Robot WTM511-2-FWS02-V1-CU Refurbished
      Brooks Automation 6-0000-4663-PC WTM-511-2-FWS02-V1 0195-02883 Cu Refurbished
      SVG Silicon Valley Group 99-47988-01 Developer Coat Station 9032 90S DUV Used
      SVG Silicon Valley Group 99-51100-03 Developer Coat Station 9026 90S DUV Used
      Yaskawa VS2B Robot and Controller Set DDMQF-SR2232IR RC/ELC1 SRC-II 005 Used
      Ebara A30W Multi-Stage Dry Vacuum Pump 30096 Hours Used Tested Working
      Ebara A30W Multi-Stage Dry Vacuum Pump 9008 Hours with VAC2D Used Tested Working
      Ebara A70W-N Multi-Stage Dry Vacuum Pump with 16097 Hours Used Tested Working
      Ebara A30W Multi-Stage Dry Vacuum Pump 2273 Hours with VAC2D Used Tested Working
      Ebara A70W-N Multi-Stage Dry Vacuum Pump with 2379 Hours Used Tested Working
      Ebara A30W Multi-Stage Dry Vacuum Pump 20092 Hours VAC2D Used Tested Working
      WAFER HOLDINGS INC 1080664.1000000001 TANK SC1 PROCESS TANK WITHOUT TRANSDUCER
      HP Hewlett-Packard 41501A SMU and Pulse Generator Expander
      WAFER HOLDINGS INC 1080663.1000000001 TANK SC1 TANK ONLY - WITHOUT TRANSDUCER
      WAFER HOLDINGS INC 1075981.1000000001 TANK SC2 PROCESS TANK
      Spectrum B-5002 ENI B-5002-02 RF Generator AMAT 0190-15320 Used Tested Working
      MDX Pinnacle AE Advanced Energy 0190-25964-001 Power Supply Used Tested Working
      MDX Pinnacle AE Advanced Energy 0190-26287-001 Power Supply Used Tested Working
      MDX Pinnacle AE Advanced Energy 3152326-113B Power Supply Used Tested Working
      ASM 1050-579-01 Kit - PWR CAB XP4 EMERALD K-MODEL
      GHW ENI GHW-85A RF Power Supply 13.56Mhz 8.5kW Used Working
      MDX-10K AE Advanced Energy 2012-120-A Magnetron Nordiko Used Tested Working
      RF20H RF Power Products 660-093816-001 Generator 7522170011 Used Tested Working
      RF20M RF Power Products 033471600 RF Generator 7521403010 Used Tested Working
      RF20M RF Power Products 0334-716-02 RF Generator 7521403050 Used Tested Working
      RF20H RF Power Products 7004-0020-4 RF Generator 3150233-001 Used Tested Working
      Nikon 4K177-955-1 RD Reticle Transfer Robot NSR-S204B Scanning System Used
      Nikon 4K177-955-3 RD Reticle Transfer Robot NSR-S204B Scanning System Used
       SVG Silicon Valley Group 859-9426-007 Wafer Inspection Stage Used Working
      Nikon 4K177-955-4 RD Reticle Transfer Robot NSR-S204B Scanning System Used
      Yaskawa Electric YR-CRJ3-A00 Industrial Robot MOTOMAN Used Working
      Nikon 4K177-955-2 RD Reticle Transfer Robot NSR-S204B Scanning System Used
      Nikon WD Wafer Loader Robot NSR-S204B Step-and-Repeat Scanning System Used
      JEOL EM-24015BU ASID Module TEM Transmission Electron Microscopy JEM-2010F Used
      Nikon 4S065-960 ADE Amplifier KT001637 NSR System Used Working
      Cambridge Fluid Systems 0010-91663  Gas Panel Assembly AMAT XR80 Used Working
      Nikon 4S587-468-2 Linear Motor Controller SPA451A WX NSR-S307E DUV Used Working
      RF30S RFPP RF Power Products AE 3150017-026 RF Generator 490-0530 Refurbished
      RF30S RFPP RF Power Products AE 3150017-008 RF Generator RF-30SWC Refurbished
      Nikon 4S587-037-2 Linear Motor Controller SPA223A ADEZ NSR-S204B Used Working
      Yaskawa 4S587-156-1 Linear Motor Controller WY PWM Nikon NSR-S204B Used Working
      Nikon SPA452B Linear Motor Controller 4S587-470-3 Untested As-Is
      Nikon 4S587-038-2 Linear Motor Controller SPA225A ADEXY NSR-S204B Used Working
      Seren 9400000019 Automatic RF Matching Network AT6 AT-SERIES 102206461 New
      RF30S RFPP RF Power Products AE 3150017-000 RF Generator F/R M Refurbished
      Yaskawa 4S587-156-3 Linear Motor Controller CLSR-42-N2CC-1 Nikon NSR-S204B Used
      RF30H RFPP RF Power Products 660-093816-001 RF Generator 3150053-001 Refurbished
      Yaskawa XU-DV9020V Linear Motor Amplifier 4S587-408-2 NSR-S307E 300mm DUV Used
      RF30S AE Advanced Energy RFPP 7520758011 RF Generator 490-0530 Refurbished
      Yaskawa 4S587-156-2 Linear Motor Controller CLSR-42-N2CB-1 Nikon NSR-S204B Used
      Yaskawa XU-DL1910 Motor Controller 4S587-288 Nikon NSR-S204B Used Working
      Nikon 4S587-038 Linear Motor Controller SPA225A ADEXY NSR-S204B Used Working
      Nikon 4S587-037-1 Linear Motor Controller SPA223A ADEZ NSR-S204B Used Working
      KLA-Tencor Stage (no chuck) 0049592-003 0023835-002 AIT-UV Untested As-Is
      KLA-Tencor 0033743-000 Illumination Optical Casting Assembly AIT UV Used As-Is
      TEL Tokyo Electron ICPL Interface Block Chill Plate Process Station Lithius Used
      Nikon WJ Wafer Transfer Robot NSR-S306C 200mm DUV Scanning System Used Working
      Emitech K650 Three Target Sputter Coater Benchtop System Chamber Quorum Used
      Brooks Automation 017-0950-01 Reliance 200mm Wafer Handling Robot CLM-3D Used
      Brooks Automation 002-7200-21 200mm Wafer Loadport FEI CLM-3D Used Working
      Fujikin WVG-S2-Y-IB7 Water Vapor Generator Controller TEL Tokyo Electron New
      Kokusai Electric FC630300350-C057 Wafer Transfer Assembly Zestone DD-1203V As-Is
      Kokusai Electric F630300350-C059 Wafer Transfer Assembly F630300350-C058 As-Is
      Cesar 1350 AE Advanced Energy 61300101 RF Power Generator 5000W @ 13.56MHz Used
      Nikon 4S064-133-4 Power Driver Box 4S064-340 NSR-S204B System Used Working
      Cesar 1350 Dressler 61300101 RF Power Generator 5000W @ 13.56MHz AE Used Working
      QuantumClean 500228213 Showerhead CVD XI (1270) Refurbished
      CTI-Cryogenics 8116312G001R On-Board 8F Cryopump Used Working
      Nikon Reticle Exchange Assembly REX RH-11C-3001-E100D0 NSR-S202A Used Working
      Nikon 4S064-133-5 Power Driver Box 4S064-340 NSR-S204B System Used Working
      IPX 500 Edwards NXD5-14-000 Dry Vacuum Pump Needs Rebuild Used Tested Working
      Nikon 4S587-470-3 Linear Motor Controller SPA452B WYR NSR-S307E DUV Used Working
      Nikon 4S587-471-2 Linear Motor Controller SPA454A MSCL NSR-S307E DUV Used
      SMC INR-497-001B Dual Channel Thermo Chiller LCD Display Damaged Used Working
      Nikon Wafer Transfer Robot WD Used Working
      Mykrolis RTNINGEN2PU0UPG Dispense Pump Photoresist Millipore Refurbished
      Cambridge 3805 Compound Balance CVD Belt CB3-94-184-21-24 12x3/16" x 301" New
      Bio-Rad Y7604000 Wafer Protrude Sensor System Quaestor Q7 Used Working
      Nikon 4S019-126 Relay Driver Card PCB WSDRVX4 NSR-S205C System Used Working
      Nikon 4S015-119 Processor Card PCB NK386SX4 NSR-S205C System Used Working
      ASM Advanced Semiconductor Materials 16-141270-01 Process Chamber ATM 300MM Used
      ASM Advanced Semiconductor Materials 16-141270-01 Chamber Process ATM 300MM Used
      nXDS10i Edwards A736-01-983 Oil-Free Dry Scroll Vacuum Pump nXDS Refurbished
      ScrewLine SP 250 Oerlikon Leybold 115001 Dry Vacuum Pump Used Tested Working
      MDX Pinnacle AE Advanced Energy 3152316-000B Power Supply Used Tested Working
      Nikon 4K177-955-1 RD Reticle Transfer Robot NSR-S202A Step-and-Repeat Used
      Brooks Automation 001-7600-02 Transfer Robot Drive VacuTran 5 VTR5 Used Working
      RF-50S RFPP 7520581010 RF Generator Cart AE 3150013-000 Used Tested Working
      ASM 1051-446-01 / Kyocera 709216 Exhaust Duct CBS-RC1 New 
      TEMPTRONIC CORPORATION TPO4010A-3020-2 Thermal Induction System
      Kensington 4000A Servo Positioning Controller with Q2SL Stage Quaestor Q5 Used
      Kensington 4000A Servo Positioning Controller AX Q2SL Stage Quaestor Q5 Used
      Panasonic LSC System Controller Unit BP225-MJ Used Working
      SGL CARBON LLC 712353 KIT BARREL SUSCEPTOR 150MM New Surplus
      Brooks 6-0002-0706-SP Robot WTM511-2-FWS02-?V1-CU w/End Effector 0190-08246
      Pearl-Kogyo ES7-IIA High Voltage Power Supply Hitachi M-712E Used Working
      Brooks 6-0002-0705-SP Robot WTM-511-2-FWS02-?V1 w/End Effector 0190-08245 Used
      Brooks 6-0002-0706-SP Robot WTM-511-2-FWS02-?V1-CU End Effector 0190-08246 Used
      Brooks Automation 6-002-0705-SP Robot WTM511-2-FWS02-V1 AMAT 0190-08245 Used
      RF10M RFPP RF Power Products 7524140011 RF Generator Used Tested Working
      Install Kit for ASM XP4 TRANSFORMER-34KVA 3PH PRI480V SEC380/120
      Newport 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Refurbished
      NH Research 1109122 Top Assembly Powertest S400 with 4820 Used Tested Working
      QDP40 Edwards 528-40-905 Vacuum Pump Rack Q Series 2 MCM 12 mTorr Tested Working
      ASM 1049-842-01 Kit Retrofit SCE 3 BK 1200 MOD VSL New 
      Sigmameltec RTS-500 CDL Dispense Cart Used Working
      QDP40 Edwards 528-40-905 Vacuum Pump Rack Q Series 2 MCM 0 mTorr Tested Working
      Newport 15-3702-1425-26 Wafer Robot AMAT 0190-22250CW Copper Exposed Refurbished
      TEL Tokyo Electron IRA Interface Block Robotics Arm ACT12 200mm Damaged As-Is
      Yaskawa CLSR-0420-N2CB-1 Linear Motor Controller Nikon 4S586-959-6 Used Working
      Yaskawa CLSR-0420-N2CD-1 RF Linear Motor Controller 4S587-688-1 NSR-S205C Used
      QDP40 Edwards 528-40-905 Vacuum Pump Rack Q Series 2 MCM 7 mTorr Tested Working
      Newport Kensington 15-3702-1425-25 Wafer Transfer Robot 0190-22248CW Refurbished
      Tencor Instruments 285714 Data Processing Board PCB Card KLA-Tencor AIT I Used
      Microbar Trackmate Chemical Delivery Cabinet 5 Tanks SVG 90S DUV System Used
      Microbar Trackmate Chemical Delivery Cabinet 3 Tanks SVG 90S DUV System Used
      Yaskawa CLSR-0420-N2CB Linear Motor Controller Nikon 4S586-959-5 Used Working
      Microbar Trackmate 4-Port Chemical Delivery Cabinet Manual Control Unit Used
      Kyoto Denkiki KDS-20170S High Voltage Power Supply Hitachi 3-845612 New
      Kokusai CX1209B Controller Module MCCU200-04 DMCU200-07 Vertron DD803V Used
      Yaskawa UT0PI-020SC Minertia Motor REX Theta-Axis UGQMEM-01SNQ71 NSR-S205C Used
      Yaskawa UTOPI-0SC Minertia Motor REX Theta-Axis UGQMEM-01SNQ71 NSR-S204B Used
      RF-30SWC RFPP Power Products 7520758011 Generator 490-0530 Used Tested Working
      Harmonic Drive RH-11C-3001-E100D0 Servo Actuator Hi-T Drive Nikon NSR-S205C Used
      Yaskawa UT0PI-020SC Minertia Motor REX Theta-Axis UGQMEM-01SNQ71 NSR-S307E Used
      TEL Tokyo Electron COT Coat Process Station COT 2.1 Lithius Incomplete As-Is
      Lam Research 715-011204-001 Harmonic Arm 852-011201-001-D Rainbow 4420 Used
      Nikon RS Shock Absorber (RFC) NSR-S204B Step-and-Repeat Scanning System Used 
      Nikon D52302 Pellicle Particle Detector NSR-S307E Reticle Loader Used Working
      Lam Research 11200-3-E-00182139 Harmonic Arm Load Lock 715-012139-001 Used
      Lam Research 11201-1-D-00332136 Harmonic Arm Load Lock 715-011204-001 Used
      SVG Silicon Vally Group 99-40609-01 Developer Module 9032 90S DUV As-Is
      AMAT Applied Materials 0010-35937 RF Match Assembly Rev. 03 New
      Lam Research 852-011200-003-L-230S Harmonic Arm Chamber 853-012123-001-G Used
      Lam Research 852-011201-001-E-231 Harmonic Arm Drive Chamber 715-12101-8 Used
      Lam Research 852-011201-001-L-230 Harmonic Arm Drive Chamber 715-130092-008 Used
      Lam Research 852-011201-583-A-LLMAIN Harmonic Arm Drive 715-130092-008 Used
      EPX TWIN 180L Edwards A419-61-222 High Vacuum Dry Pump Used Tested Working
      APEX 1513 AE Advanced Energy 0190-19022-001 RF 3156110-005 Used Tested Working
      Shinko BX80-070280-11 Robot SBX92100217 TEL Tokyo Electron T-3044SS Used Working
      Mitsubishi FT-3301W-WINI Turbomolecular Pump & Controller FTI-3301W Turbo Used
      AMAT Applied Materials 50309050000 Electron Column Beam Gun SV300 cX As-Is
      Nihon Koshuha MBA-010-H-2 1kW RF Matching Box Assembly Used Working
      TEL Tokyo Electron PBCP2-U250-01-I AC Power Box Cellesta Missing PLC As-Is
      RGA-50C Daihen RGA-50C-V RF Power Generator Used Tested Working
      Nikon 4G680-526AN RPF 2% Concave NSR-S204B New
      Gatan 678 Imaging Filter Mag Drift Focus Tube 692GIF.2KCK JEOL JEM-2010F Used
      FEI Company 4035 272 06301-B HV Connector 4035 278 01231 Sidewinder CLM-3D Used
      RF30S RFPP RF Power Products 3150017-026 Generator 490-0530 Used Tested Working
      APEX 5513 AE Advanced Energy 27-373073-00 RF Generator 3156115-251 Used Tested
      Nikon 4G680-334BN 9.5% Concave RPF NSR-S204B Scanner New
      FEI Company 4022 268 01181 SED Assembly CLM DSPB 4022 262 44073 CLM-3D Used
      Nikon NSR 4G680-389AN Scanner RPF 6.5% New
      Nikon Photomask Reticle Handling Unit NSR-S307E Main Body Untested As-Is
      Harmonic Drive Systems RH-11C-3001-E010D0 REX Theta Motor NSR-S204B Used Working
      Nikon 4G680-674AN RPF 1W FORM NSR-S204B New
      RF30S RFPP Power Products 7520758011 RF Generator 490-0530 Used Tested Working
      RF-30SWC RFPP 7520758010 RF Generator 3150017-026 RF30S Used Tested Working
      FEI Company 4022 261 5227 Preamp SED Electrical 4022 268 00491 CDEM CLM-3D Used
      KLA-Tencor 0023504-002 Polarization Assembly 0037183-005 0071152-001 Used
      KLA-Tencor 0033743-000 Illumination Optics Casting Assembly AIT UV Used As-Is
      AMAT Applied Materials 0240-61428 Quantum Leap Heat Exchanger Rev. Q2 Used
      AMAT Applied Materials 0010-37386 Center Water Feedthru Source New Surplus
      AMAT Applied Materials 0010-22568 CPI-VMO Chamber Source 4 Rev 001 Endura Used
      LAMBDA RF SYSTEMS 161961 RF Generator Model SPA400D-1 Submicron Systems
      TEL Tokyo Electron 1885-022352-17 Electrode Bottom PC
      TEL Tokyo Electron X AXIS (CRA) IPL Career Station 300mm Wafer Lithius Used
      Nikon IU-2FE Rotary Lens Assembly NSR-S205C Beam Matching Unit Needs Gasket Used
      Nikon Wafer Handling Assembly Optistation 3 Untested As-Is
      Ultratech Stepper 04-15-02994 Fiber Optic Lens Assembly Used Working
      Ushio CD0022 Hot Plate Heater Shinko Acteq AKH220EUS Sigmameltec RTS-500 Used
      KLA-Tencor 0071152-001 Polarization 0037183-004 0023504-002 Used Working
      KLA-Tencor 0031663-000 Measurement Head Used Working
      SCHUMACHER 1483-2201E V MDOT Delivery System, Model VMDTEOS 3'-3'
      ASM D004651D SOLID SOURCE CONTAINER- HIG New
      AX8200 ASTeX Applied Science & Technology AX8200D Ozone Generator Rev. 2C Used
      DYNATRONIX 990-0229-410 Pro Series Power Supply, Model PMC-104/1-5DC New
      Nikon Field Revolver 135603 SHRINC NSR-S202A Step-and-Repeat System Used Working
      IT Industrial Technologies IT7586-G2A1440PFA1 Computer ASM 2398095-01 New
      AX8200 ASTeX Applied Science & Technology AX8200D Ozone Generator Rev. 1A Used
      AX8200 ASTeX Applied Science & Technology AX8200D Ozone Generator Rev. 2B Used
      DYNATRONIX 990-0229-410 Pro Series Power Supply, Model PMC-104/1-5 New
      Tachibana Tectron TVME2500 VME PCB Card NEC-16T Rev. B TVME2500-CRD Used Working
      Yaskawa ULSI-1A-NQ14 Y-Axis Linear Magnet Motor NSR-S204B Used Working
      TEL Tokyo Electron Wafer Indexer Robot 300mm Immersion System Used Working
      Nikon Optical Table Block Assembly NSR Series Untested As-Is
      Brooks Automation 013077-079-20 300mm Load Port FIXLOAD 25 V5 New
      Brooks Automation 0404-11544 300mm Load Port FIXLOAD V5 AMAT 0190-15597 New
      AMAT Applied Materials 0010-11228 PVD Magnet LP-3.7.3 300mm Endura New 
      AMAT Applied Materials 300mm Wafer Transfer Arm AMAT SEMVision cX Used Working
      Opal 50312403000 Controller Chassis CDM DR-300 AMAT SEMVision cX Used Working
      TEL Tokyo Electron 847 ADH Adhesion Process Station ACT12-200 No Chamber As-Is
      HC30 Kashiyama HC30B Screw Drive Dry Vacuum Pump Varian VSp30 Untested As-Is
      Christie 38-DSP102-07 DLP Simulation Projector MATRIX S+2K M 14622 Hours Used
      HC30 Kashiyama HC60B Screw Drive Dry Vacuum Pump Used Untested As-Is
      Christie 38-DSP102-07 DLP Simulation Projector MATRIX S+2K M 12917 Hours Used
      Christie 38-DSP102-07 DLP Simulation Projector MATRIX S+2K M 14524 Hours Used
      AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper Used Working
      SVG Silicon Vally Group 99-39984-01 Spin Coater Module 9026 90S DUV As-Is
      TEL Tokyo Electron 2985-429208-W4 300mm Sub Unit Adhesive Module Missing As-Is
      TEL Tokyo Electron 2985-429208-W4 Adhesive Module Base ACT12-300 300mm As-Is
      BSL Buckley Systems 0010-92170-ITL Precision Electromagnet AMAT XR80 As-Is
      Nikon TC-214008G Minimotor BLGNA 1/29.64 NSR-S205C Used Working
      Nikon MH-15M-CCB Digital Micrometer DIGIMICRO NSR-S205C Used Working
      ChemWest Systems 420518 Automated Slurry Filter Cabinet Copper Used Working
      TEL Tokyo Electron PBCP2-U250-01-I AC Power Box Cellesta CPS LINE As-Is
      Novellus Systems R02-281532-00 RF Match Source Aluminum Coil Refurbished
      MRC Materials Research A120946 Chamber Assembly Eclipse Star Used Working
      TEL Tokyo Electron PB1-U125-01-T AC Power Box CleanTrack ACT8 Untested As-Is
      ASM Advanced Semiconductor Materials 16-186296E01 Top Load Flange 300mm New
      AMAT Applied Materials 0021-11382 CPI-VCM Chamber Source 3 Magnet Assembly Used
      Yaskawa ULVI-13-NQ24 Theta Reticle Stage Motor NSR-S204B Main Body Used Working
      TEL Tokyo Electron 847 ADH Adhesion Process Station ACT12-200 Damaged As-Is
      AMAT Applied Materials RHS-20-50-CC-SP Wafer Transfer Drive SEMVision cX Used
      Arcom 7041-64011-004-202 Master and Ctrl Computer 7041-64012-004-102 As-Is
      Yaskawa Electric VS2B Wafer Transfer Robot with Dual End Effector Used Working
      HFV 8000 AE Advanced Energy 0190-24488 RF Power Supply AMAT 3155083-505 A New
      Schumacher 1464-0322 ATCS-15 H.T Absolute Temperature Controller 1442-0010C Used
      Synergy Microsystems 0090-76110 V21 VME PCB Card AMAT Precision 5000 Refurbished
      Apex 5513 AE Advanced Energy 3156115-053 RF Generator F/R A Used Tested Working
      Apex 5513 AE Advanced Energy 3156115-253 RF Generator 27-368622-00 Used Working
      AMAT Applied Materials 0020-75028 Module Wafer Transfer Robot 0020-60583 Used
      Operon DFU-128CE Ultra Low Temp Freezer
      ASTEK AHT3-LAI-01 HT3 Packet Analyzer
      Nikon 4S015-205-C304-BT-31 Processor PCB Card NK-C304-40 Used Working
      Brooks Automation 1-0125 Wafer Handling Robot KLA-Tencor eS20XP Used Working
      IPUP T100L Toyota 0190-30900 Vacuum Dry Pump 42953 Hrs AMAT Used Tested Working
      IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT Used Tested Working
      KLA-Tencor 0024572-000 ENCL AOD DRV ASSY 0044694-001 0031598-001 AIT UV used
      IPUP A100LI Alcatel A100L11211 Dry Vacuum Pump 63264 Hours Used Tested Working
      IPUP A100LI Alcatel A100L11211 Dry Vacuum Pump 60566 Hours Used Tested Working
      IPUP E100 Edwards NRA7-27-000 Dry Vacuum Pump 7335 Hours Used Tested Working
      Air Products 46635 2Cyl Manifold AXO-AP1510SHM-HBr,HCl 
      Sinfonia Technology SCE92100137 Dual Arm Wafer Transfer Robot Used Working
      Asyst Technologies 9700-8107-01 300mm Wafer Load Port 300FLS2,HAMA ROX/E84 Used
      TEL Tokyo Electron PR300Z Wafer Spin Motor Assembly BEOL Cleaning System Used
      RF25M RF Power Products 660-093818-002 Power Supply 3150048-000 Refurbished
      Aetrium 301580 Assembly Parts 5050S IC Handler Used Working
      Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V3 Used Tested Working
      MRC Materials Research Corp A120024 Sputtering System Remote Stand Rev. C Used
      MRC Materials Research Corp A120024 Sputtering System Remote Stand Rev. B Used
      MRC Materials Research A118144 Wafer Chuck RF Cylinder Rev. B Eclipse Star Used
      Asyst Technologies 970-5158-01 300mm Load Port SMIF-300FL KLA CRS-3000 Used
      MRC Materials Research A118144 Wafer Chuck RF Cylinder Rev. G Eclipse Star Used
      DCG-200Z OPTIMA ENI DCG-100Z-00 Plasma Generator AMAT 0190-10114 Refurbished
      DCG-200A ENI DC24M-A041300110AL RF Generator Rev. D AMAT 0190-07962 Refurbished
      DCG-200Z ENI DC24M-Z141300110A RF Generator Master AMAT 0190-07964 Refurbished
      DCG-200Z ENI DC22M-Z041300111A RF Generator Rev. P AMAT 0190-07961 Refurbished
      Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V4 Used Tested Working
      Sinfonia Technology SCE92100137 Wafer Robot TEL Tokyo Electron T-3044SS Used
      TPH 240 Pfeiffer PM P01 320B Turbomolecular Vacuum Pump Used Working
      Brooks Automation 6-0002-0705-SP Robot WTM-511-2-FWS-02-V1 AMAT 0190-08245 Used
      Liebert SCC020C-4 Datawave 1 Magnetic Synthesizer Used Working
      ADTEC AX-2000EUII-N RF Generator Novellus 27-286651-00 Used Tested Working
      AMAT Applied Materials 1080-90120 Exchange Arm Motor Assembly 0021-06737 Used
      Nikon KNB47138 Laser Prism CCD Camera Assembly K-00101 NSR Used Working
      MRC Materials Research A120024 Sputtering System Remote Stand Eclipse Star Used
      CTI-Cryogenics 8116320G001R On-Board 10F Cryopump 8113100G001R Used Working
      Daihen WGA-50E-V 2MHz RF DC Power Generator 3D80-001480-V1 Used Tested Working
      Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V2 Used Tested Working
      HC30 Kashiyama HC30B Screw Drive Dry Vacuum Pump No Feet Wheels Untested
      AMAT Applied Materials Pick and Place Robot ITU Assembly VeraSEM Used Working
      Leybold Inficon 903-001-G3 Transpector Gas Analysis System IPC-50 Turbovac Used
      Equipe Technologies FPD 400 Flat Panel Display Robot INDEXER 360 MM Used Working
      WJ Watkins-Johnson 914252-004 Flat Wire Conveyor Belt ASM 4668311-0001 New
      Asyst Technologies 9700-9129-01 300mm Wafer Load Port IsoPort Used Working
      KLA-Tencor 1102061815000 WBI 300 (COB) Module 11301404123000 CSA Unit Used
      ADTEC AX-2000III RF Plasma Generator 27-307431-00 Minor Dent Used Tested Working
      KLA Instruments 655-650167-00 Wafer Defect Cassette Stage Assembly 2132 Used
      Asyst Technologies 9700-8106-01 SMIF-300FL Load Port 300FL S2.1 HAMA ROX Used
      Hitachi I-900SRT Wafer Inspection Transfer Robot Used Working
      Hitachi Wafer Transfer Robot M-712E Shallow Trench Etcher Used Working
      CTI-Cryogenics 8116319G001R On-Board 10F Cryopump 23260 Hrs Used Tested Working
      CTI-Cryogenics 8116397G001R On-Board 10F Cryopump 15034 Hrs Used Tested Working
      Ebara A30WN Multi-Stage Dry Vacuum Pump 23627 Hours MP-BLOWER Fault Tested As-Is
      Ebara A30W Multi-Stage Dry Vacuum Pump 7884 Hours MP-MOTOR Fault Tested As-Is
      Neslab ThermoFlex 10,000 Thermo Fisher 163172030000002 Chiller Tested As-Is
      Asyst Technologies 9700-9129-01 300mm Wafer Load Port IsoPort Copper Cu Used
      Ebara A30W Multi-Stage Dry Vacuum Pump 27941 Hours MP-BLOWER Fault Tested As-Is
      Brooks 6-0002-0706-SP Robot WTM511-2-FWS02-V1-CU AMAT 0190-08246 Copper Used
      Brooks Automation WTM-511-2-FWS02-V1 Wafer Handling Robot AMAT 0190-08245 Used
      Ebara A30W Multi-Stage Dry Vacuum Pump MP-BLOWER Overload Fault Tested As-Is
      Brooks Automation 6-0002-0706-SP Wafer Robot WTM AMAT 0190-08246 Copper Used
      Asyst Technologies 9700-6149-01 300mm Load Port 300FL,S2.1 HAMA/E-84 Used
      NORTON SAINT GOBAIN 3497708R CVD Silicon carbide Coated Heating Igniter
      ASM 02-338116-01 ASSY-MMI-SMIF FEI-MITSUTECH
      Ebara A10S-B Multi-Stage Dry Vacuum Pump Blower Fault Tested Not Working As-Is
      ASM 91310-68084 HEATING 336X50TWINROLLCAM GATE VALVE
      Lam Research 716-011057-002 Upper Alumina Filler Ring New
      DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. A 0190-08034 Tested Working
      DCG-200Z ENI DC24M-Z041300110A RF Generator Master Rev. C AMAT 0190-08033 Used
      DCG-200Z ENI DC22S-Z122000010A RF Generator Slave Rev. D AMAT 0190-07965 Used
      DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. C 0190-08034 Tested Working
      SMC INR-496-002D-X007 Recirculating Thermo Chiller Used Tested Working
      LKT Automation DPCS-NGCAM Die Placement Checking System Used Working
      Canon EX3 / EX4 Stepper Beam Delivery System EX Used
      DCG-200Z ENI DC22S-Z022000010A 0190-08034 Damaged Breaker Used Tested Working
      DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. F 0190-08034 Tested Working
      Nikon 4L991-783AN Depolarizer NSR-S306C New
      Nikon 4L990-797AN Prism Unit NSR Scanner Used Working
      MDX Pinnacle AE Advanced Energy 3152363-004 A DC Generator Used Working
      MDX Pinnacle AE Advanced Energy 3152363-016 B DC Generator Used Working
      Irvine Optical Ultrastation 3.L Macro Inspection Station Brooks Untested As-Is
      DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. E 0190-08034 Tested Working
      MDX-10K AE Advanced Energy 3152194-004 W Magnetron Drive MASTER Tested Working
      MDX-10K AE Advanced Energy 3152012-041 AB Power Supply MASTER Tested Working
      MDX 10K AE Advanced Energy 3152194-004 V Magnetron Drive MASTER Tested Working
      DCG-200E OPTIMA ENI DCG-400E-0J DC Generator MASTER 0190-22568 Tested Working
      MDX-10K AE Advanced Energy 3152194-008 V DC Supply SLAVE Used Tested Working
      MDX-10K AE Advanced Energy 2194-008-V DC Supply SLAVE Used Tested Working
      iL600N Edwards NRB4-47-945 Dry Vacuum Pump Copper Exposed Tested Working
      MDX Pinnacle AE Advanced Energy 3152363-004 A DC Generator Used Tested Working
      ATH 500M Adixen Vacuum Products V13121B1 Turbomolecular Pump Used Working
      MDX 10K AE Advanced Energy 2194-008-X DC Power Supply SLAVE Used Tested Working
      MDX 10K AE Advanced Energy 2194-008-R DC Power Supply SLAVE Used Tested Working
      OAI Instruments 0358-010-01 High Intensity Stepper Exposure Analyzer 358 Used
      AMAT Applied Materials 0010-00357 Flat Finder New
      SVG Silicon Valley Group 879-0427-001 Wafer Handling Robot 858-2457-003 Used
      Matsusada HPZT-0.18PX6-NK High Voltage Power Supply Nikon NSR-S204B System Used
      Brooks Automation 146828 Series 8 Robot Controller CHE Used Working
      ASM Advanced Semiconductor Materials 96-125485A29 Pedestal UNI HSE Platen New
      Fujikin Incorporated WVG-S2-Y-I35 Water Vapor Generator Used Working
      Yaskawa ERCR-NS00-A210-E Robot Controller NXC100 Used Working
      Fujikin Incorporated WVG-S2-Y-I38 Water Vapor Generator Used Working
      Brooks Automation 002-0921-11 Wafer Chuck Robot KLA-Tencor eS20XP Used Working
      Daihen SMA-20B Microwave Waveguide Magnetron Assembly Hitachi M-511E Etcher Used
      Hitachi M-511E Microwave Plasma Etching System Control Module Used Working
      TEL Tokyo Electron IFBS Wafer Rinse Station Clean Track Lithius Used Working
       PDX 1250 AE Advanced Energy 3156024-030 B RF Generator Used Tested Working
      Yaskawa Electric XU-CM5500 Robot Controller 4S064-734 NSR-S307E DUV System Used
      AMAT Applied Materials 0010-76015 Precision 5000 Cleanroom 8" Robot Used As-Is
      AMAT Applied Materials 0010-70066 Heat Exchanger AMAT 0 Used Working
      MRC Materials Research D112823 I/O Intec Wrist 150mm 6 Inch A114645 Eclipse Used
      Equipe PRE-300B-L-2-CCD 300mm Wafer Prealigner PRE-300 Used Working
      AMAT Applied Materials 0010-08322 Top Local Match 300mm Rev. 009 Used Working
      Yaskawa Electric VS2B Wafer Transfer Robot TEL Tokyo Electron Unity II Used
      Nikon Main Body Back Relay Lens Optic NSR-S205C Exposure System Used Working
      Nikon Main Body Side Front Relay NSR-S204B BMU Beam Matching Unit Used
      Nikon 4S008-043-A Interface Board PCB ALG-NAL NSR-S307E DUV 300mm Used
      Nikon 4S008-043-A Interface Board PCB ALG-NAL NSR-S306C Used Working
      PRI Automation PRE-300BU Wafer Prealigner KLA-Tencor 0011623-000 CRS-3000 Used
      Ultratech Stepper 19887320033 Wide Field Optics Module UltraStep 1000 Used
      Brooks Automation 002-9400-04 Robot Controller KLA-Tencor eS20XP Used Working
      DRYSTAR Edwards QDP40 Dry Vacuum Pump 10 mTorr Used Tested Working
      TMU Pfeiffer TMU 071-003 P Turbomolecular Pump Assebmly PM C01 692A Used Working
      Cascade Microtech ECX-56 Expansion Module EBC-68-51 CPU EBD 61-51 Used Working
      Panasonic LSC Automation Controller Module MINAS BP225-MJ Used Working
      Hutech BKO-250-400 Hot Air Circulation Bake Oven Used Working
      Sumitomo ADE1 H Control Unit 4S587-598 M28557 Nikon NSR-S307E Used Working
      Lam Research 852-011200-003-G-231S Entrance Loadlock Assembly 4420 Used Working
      Origin Electric ES7-IIA Magnetron Power Generator MAG.P/G Hitachi M-712E Used
      Kyoto Denkiki KDS-30350W Dual Output DC Power Supply Hitachi M-712E Used Working
      Nikon Wafer Loader Indexer NSR-S204B Step-and-Repeat Scanning Used Working
      Nikon Wafer Mask Reticle Indexer NSR-S204B Used Working
      EPX TWIN 180L Edwards A419-61-222 Vacuum Dry Pump 2944 Hours Used Tested Working
      VHF Ovation 2760 AE 0190-17779-001 RF Generator 3150292-007 Used Tested Working
      VHF Ovation 2760 AE 0190-17779-004 RF Generator 3150292-007 Used Tested Working
      Brooks Automation 002-7090-08 Wafer Handling Robot AcuTran ATR-7 No Arm Used 
      QMB1200 60Hz Edwards A305-86-905 Mechanical Booster Pump Factory Refurbished
      VHF Ovation 2760 AE 0190-17779-W RF Generator 3150292-007 Used Tested Working
      AMAT Applied Materials 0010-14528 Magnetic Source 3 CPI-VMO Endura Used Working
      FEI 22253-C 26833-C 17250-F GIS Controller Chassis FEI XL-830 Used Working
      FEI 26833-C 26833-B GIS Controller Chassis FEI XL-830 Used Working
      Kyoto Denkiki KDS-30350WFX Dual Output Power Supply Hitachi M-712E Used Working
      Asyst 9700-5158-03 SMIF-300FL Load Port 300FL, S2.1 25WFR Rev. A Used Working
      Nikon 4S018-351-A Control Board PCB OPDCTRL2 NSR-S204B Step-and-Repeat Used
      Hitachi CR-712T-AC Wafer Transfer Clean Robot Sanki Technos Used Working
      Nikon 4S014-143 Control Board PCB LC-CTL4 NSR-S204B Step-and-Repeat Used Working
      Nikon NSR SPA325Y Linear Motor Controller 4S587-130 Used Working
      Nikon 4S018-354-1 Control Board PCB AIRCTRL3 NSR-S204B Step-and-Repeat Used
      Hitachi CR-712VC Wafer Transfer Clean Robot Sanki Technos Used Working
      Nikon 4S587-130-1 Linear Motor Controller SPA325Y NSR-S204B Step-and-Repeat Used
      Nikon 4S018-354-3 Control Board PCB AIRCTRL3 NSR-S204B Step-and-Repeat Used
      Nikon 4S018-163 Control Board PCB SHRINC-CTRL NSR-S204B Step-and-Repeat Used
      Nikon 4S014-142-3 Control Board PCB RL-CTRL2 NSR-S204B Step-and-Repeat Used
      Nikon 4S587-130 Linear Motor Controller SPA325Y NSR-S204B Step-and-Repeat Used
      Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S204B System Used
      Nikon 4S018-403-G Control Board PCB PPD3S NSR-S204B Step-and-Repeat Used Working
      Nikon 4S587-180 Linear Motor Controller SPA325B NSR-S204B Used Working
      Nikon 4S015-164 Processor PCB Card 4S015-166-2 NSR-S307E DUV 300mm Used Working
      TDK Corporation TAS300 300mm Wafer Load Port Type E4 No Transponder Used Working
      KLA-Tencor 0071143-003 Optical Assembly 0090848-001 0038216-001 AIT UV Used
      Toshiba TWH200JG Chamber Room Refrigerator TS480J2N Nikon NSR-S204B TCRack As-Is
      KLA Tencor AIT UV 0071141-003 Assy 0033116-002 0090847-001 Used Working
      Nikon F3WA-022E TFC M22 Refrigerator Chamber TC-Rack NSR-S205C Untested As-Is
      Nikon B06106 Reticle Mask Analyzer 4S007-609 4S007-580-C 4S007-598-A S204B Used
      KLA-Tencor 0071141-003 Assembly 0033116-000 AIT UV 0090847-000 Used Working
      Kokusai Electric Wafer Transfer Robot Zestone DD-1203V As-Is
      Pearl Kogyo LP-2000-800KBX RF Power Generator Hitachi M-712E Used Working
      NSK M-SSB020FN502 Robot Servo Motor TEL 5080-192472-12 Lithius Used Working
      Kokusai Electric Wafer Transfer Robot Bent Sensor Zestone DD-1203V As-Is
      Pearl Kogyo CF-500-400K(CE) RF Power Supply Hitachi M-712E Used Working
      Brooks Automation 6-0000-4663-PC Wafer Robot AMAT 0195-02883 Copper Exposed Used
      Lam Research 852-011200-583 Exit Loadlock Assembly 853-017637-001-I Used Working
      Lam Research 853-24403-100-6 Orbital Gas Panel 4420 Etcher Assembly As-Is
      Lam Research 852-011200-583 Exit Loadlock Assembly 853-017637-002 Used Working
      Lam Research 853-024403-100-8 Orbital Gas Panel Assembly 4420 Etcher As-Is
      Lam Research 853-024403-583-C Orbital Gas Panel 4420 Etcher Assembly As-Is
      Lam Research 853-024403-583-C-254 Orbital Gas Panel 4420 Etcher Assembly As-Is
      Lam Research 853-024403-500-E1 Orbital Gas Panel 4420 Etcher Assembly As-Is
      MECS UTX-5000-SYSTEM Wafer Transport Robot System Controller CS-7000 Used
      RFPP RF Power Products 7621020040 RF Automatic Matching Network AM-50 5000W Used
      RFPP RF Power Products 762102001 RF Automatic Matching Network AM-50 5000W Used
      RFPP RF Power Products 7621020010 RF Automatic Matching Network AM-50 5000W Used
      TEL Tokyo Electron 2985-423760-W3 IFB Interface Block Cooling ACT12-300 Used
      Kensington Laboratories CSMT Scanner and Tilt Arm Assembly CSMT-4 Used Working
       Brooks Automation 002-9400-04 Series 8 Robot Controller FEI CLM-3D Used Working
      Yokogawa DR1200A00 *1C/96S2895 DD Servo Actuator TEL Tokyo Electron ACT12 Used
       Brooks Automation 002-7391-08 200mm Wafer Pre-Aligner FEI CLM-3D Used Working
      Nitto Denko MA3000II Pre-Aligner used working
      Sigmameltec RTS-500 Linear Developer Dispenser SGM-01U312C SGMP-01U312 Used
      Asyst Hine Design 04630-003 Load Elevator Indexer GaSonics 94-1175 Used Working
      Fujikin WVG-S2-Y-IB4 Water Vapor Generator Controller TEL Tokyo Electron Used
      Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT Endura 300mm Interface Used
      Nikon 4S015-130 PCB Rack 4S007-994 4S007-878-1 4S007-879-1 4S014-145 Used 
      Newport 15-3702-1425-25 Wafer Transfer Robot 0190-22248W AMAT Endura Used
      Sigmameltec RTS-500 Linear Developer Dispenser SGM-01U312C SGMP-01U312 Used
      Nikon Main Body Reticle Stage VRA Table NSR-S204B Used Working
      MECS UTV-2500H-SYSTEM Wafer Transport Robot System Controller CS-7000 Used
      TMP Shimadzu TMP-3203LMC-K1 Turbomolecular Pump Used Untested As-Is
      MAG 1000 CT Leybold 86004 Turbomolecular Pump Locked Rotor Untested As-Is
      Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Mount M-712E Used
      Heat Exchanger PROTO-ADV-CH1-2-009 Used Working
      Yaskawa ERCR-SS31-A001 Robot Controller Used Working
      Heason Technology 100-00915 Fast Shutter Controller Nordiko 9550 Used Working
      Yamatake DMC50 Multi-Loop Controller Set of 3 DMC50CS DMC50M Used Working
      VAT 64250-UE52-AAT1 Motorized Actuator HV High Vacuum Gate Valve Used Working
      Sony 1-689-898-11 Laserscale AMP Detector Card PCB 4S008-248 Nikon NSR-S306 Used
      Nikon 4K577-177 Integrator Sensor NSR-S202A Step-and-Repeat System Used Working
      Nikon 4S017-983 VME Interface Control Card PCB VME-I/F-M NSR-S204B Used Working
      HEATEFLEX CORP LHN-1-03-C-A00-P567 HEATER CHILLER COMBINATION 3KW ICD New
      CTI-Cryogenics 8116266G001R On-Board 10F Cryopump 8113100G001R Used Working
      Kaijo 78101-AD6-UL Ultrasonic Generator HI MEGASONIC 900 Used Working
      Oxford Microanalysis 1184060 Opal Link Assembly C.7311 Used Working
      Sony 1-689-898-11 Laserscale AMP Detector Card PCB 4S008-247 Nikon NSR-S306 Used
      Seren 9400250001 Automatic RF Matching Network AT50/140 5000W 13.56MHz Used
      Nikon MH-15-041 Digital Micrometer 4S554-041 NSR-S204B Used Working
      IPUP E100L Edwards A535-72-945 Vacuum Pump AMAT 9999 Hours Used Tested Working
      Digital PH48H-AA Factory Computer ALPHASTATION 255 NSR-S202A Used Working
      Seren 9400250011 Automatic RF Matching Network AT50/140 5000W 13.56MHz Used
      Nikon Low Reflectance Sensor NSR-S202A Step-and-Repeat System Used Working
      Honeywell TSQUTWBTM-08263 Target 49.5W/10Ti 0.38x7.715x11.640x13.050" New
      Nikon Wafer Center Table NSR-S307E DUV 300mm System Used Working
      Osaka Vacuum TG1300 Compound Turbomolecular Pump Used Untested As-Is
      Nikon 4K177-955-1 Robot Controller Tazmo RRW-07 V4.2 NSR-S204B Used Working
      Kokusai CX1209 Circuit Board PCB Rack D1E01294A D1E01300A D1E01291 Used 
      Asyst Technologies 9700-6444-01 Indexer NRI 1150R Nikon NSR-S307E Used Working
      IDI  Integrated Designs 203-MC6L1S IDS Dispenser Photoresist Used Tested Working
      IDI Integrated Design 201-M6L10-S IDS Dispenser Photoresist Used Tested Working
      Advantest H4-410004 BLD-024486 Programmable Logic Control Vexta A6376-044 Used
      Industrial Computer Source 7315P-23V 260-00088-01 14013-10 260-00097-01 used
      IDI 203-MC6L1S IDS Dispenser Photoresist 5-Port System Used Tested Working
      TEL Tokyo Electron T-3044SS Wafer Load Port Used Working
      IDI Integrated Design 203-MC8L1S IDS Dispenser Photoresist Used Tested Working
      TEL Tokyo Electron T-3044SS Pre Aligner Loader Module Used Working 
      AMAT Applied Materials 0200-03259 Slotted Preheat Ring .15 THK 300mm EP New
      Yaskawa VOSH Robot and Robot Controller DDMQF-SR22311 Used Working
      Nikon T-703018 Optics Table Assembly NSR-S307E Used Working
      TEL Tokyo Electron 851 WEE Wafer Edge Exposure ACT12-200 Missing PCB As-Is
      TEL Tokyo Electron 2985-445336-W1 IFB Interface Block Cooling ACT12 200mm Used
      Nikon High-Powered Lens Assembly NSR-S307E As-Is
      Asyst Technologies 9700-6584-05 Reticle Loader ATR-9000 Rev. E Used Working
      Brooks Automation 002-7391-33 Wafer Pre-Aligner Prealigner Used Working
      AMAT Applied Materials 0010-11228 300mm Magnet Assembly New Surplus
      iGX100M Edwards A53612958XS Dry Vacuum Pump Cooling Loop Leak Not Working As-Is
      Brooks Automation 06-80012171-001 Techware 5 Express Controller Rev. J Used
      Brooks Automation 06-80012171-001 Techware 5 Express Controller 921-138 Used
      TURBOVAC 350i Oerlikon Leybold 830051V1000 Turbomolecular Pump Used Working
      Brooks Automation 06-80012171-001 Techware 5 Express Controller Rev. F Used
      Brooks Automation 06-80012171-001 Techware 5 Express Controller Rev. C Used
      Brooks Automation 06-80012171-001 Techware 5 Express Controller 921-070 Used
      Lam Research 852-030038-601 Lower Reaction Chamber TCP LAM 9600SE Used Working
      TURBOVAC 360CSV Leybold 00903 Turbomolecular Pump ISO100 Turbo Used Working
      Sumitomo M28490 ZL Axis Linear Lift Elevator ZLaxisVCM1 NSR-S307E 300mm DUV Used
      Sumitomo M28491 ZR Axis Linear Lift Elevator ZRaxisVCM1 NSR-S307E 300mm DUV Used
      Yaskawa 410000-8600 Robot Controller ERCJ-CRJ3-B00-CN TEL PR300Z Used Working
      Sumitomo M28492 ZB Axis Linear Lift Elevator ZBaxisVCM1 NSR-S307E 300mm DUV Used
      RadiSys WHC DR 300 0650-A0750 Computer AMAT 2101640 M41 SemVision cX Used
      Neslab Instruments 327004310101 System III Liquid to Liquid Heat Exchanger As-Is
      AMAT Applied Materials 9090-01144 Processor and Sensor Rack Quantum X Used
      TEL Tokyo Electron PR300Z Wafer Spin Motor BEOL Cleaning System Used Working
      TEL Tokyo Electron AQA-K09-TYP2 Chiller Tested Not Working As-Is
      Brooks Automation 6-0002-0408-SP Pre-Aligner 0029191-000 PRE-301BU-CE-S2 Used
      Yaskawa XU-DV0901V Amplifier 4S587-408-1 Nikon NSR-S307E Wafer Loader Used
      Fujikin Incorporated WVG-S2-Y-I37 Water Vapor Generator Used Working
      Hitachi EC1 Transformer Unit M-712E Used Working
      Hitachi EC2 Transformer Unit M-712E Used Working
      Yaskawa CLSR-0102B-N2CA RX/RY TRM Amplifier Nikon 4S065-784 Used Working
      Kyoto Denkiki KDS-30350WF Dual Output DC Power Supply Used Working
      PRI Automation ABM-507B-2-S-CE-S293 Wafer Transfer Robot Used Working
      Temptronic TP03000A-2300-1 ThermoChuck Chiller TP03000 Electroglas 4090u As-Is
      Nikon 4S015-068 CPU Processor Card PCB PPD-CPU 4S017-912-A NSR-S202A Used
      KLA-Tencor 200mm Wafer Chuck AIT I Surfscan Patterned Inspection System Used
      Temptronic TP03000A2-TS-1 ThermoChuck Chiller TP03000 Untested As-Is
      Kyoto Denkiki KDS-20170TW High Voltage Dual Output Power Supply Used Working
      Yaskawa 4S858-872 Linear Motor Controller ADE-4C1C NSR-S202A Used Working
      Kyoto Denkiki KDS-30350SFX High Voltage Power Supply KDS-30350SF
      Mykrolis RTNINGEN2PU0UPG Dispense Pump Photoresist INGEN2PU0 Refurbished
      Nikon 4S015-164 Processor Control Card PCB NK-C441-1 NSR-S205C System Used
      TEL Tokyo Electron 3D80-050031-V3 ECC2 Controller EC RACK T-3044SS Used Working
      Micromanipulator Co. Series 4300 Probe Station StereoZoom 5 Used Untesed As-Is
      Shinko Electric 3D80-050107-V1 Wafer Prealigner SCE92100050 TEL T-3044SS Used
      TEL Tokyo Electron 3D80-050031-V1 ECC2 Controller EC RACK T-3044SS Used Working
      Sanki Technos CR-712V Clean Robot Hitachi M-712E Etcher Monolith Chamber Used
      Powervar 74090-53R Power Conditioner ABC90.0-48D40Y Tested Not Working As-Is
      Sumitomo 4K191-752 ZR Axis VCM1 M28491 NSR-S205C Main Body Used Working
      Yaskawa XU-RSM0230 Wafer Transfer Robot Ebara EPO-222T Used Working
      KLA Instruments 710-650099-20 KLA DP PCB Card 073-650098-00 2132 Used Working
      Sumitomo 4K191-751 ZL Axis VCM1 M28490 NSR-S205C Main Body Used Working
      AMAT Applied Materials SBC Control Assembly VM1C VM1VME-7588-787 VeraSEM Used
      MAG 1000 CT Leybold 86004 Turbomolecular Pump Used Untested As-Is
      Sanki Technos CR-712V Robot Hitachi MU-712E Etcher Used Working
      Hitachi Wafer Transfer Robot Hitachi M-712E EFEM Used Working
      Sumitomo 4K191-753 ZB Axis VCM1 M28492 NSR-S205C Main Body Used Working
      PDX 2500 AE Advanced Energy R27-293721-00 MF Generator 3156012-201 Used Tested
      Amray 2030C Scanning Electron Microscope AC Power Distribution Chassis Used
      AMAT Applied Materials Chamber 3 Source Good Condition Untested As-Is
      PDX 2500 AE Advanced Energy 27-293721-00 MF Generator Used Tested Working
      Yaskawa ERCR-NS00-A001 Robot Controller NXC100 Used Working
      CX-2500 Comdel FP3305R1 RF Generator 3.39MHz New Surplus
      AMAT Applied Materials Chamber 4 Source Used Untested As-Is
      Daihen RMN-20E2-V RF Auto Matcher 3D80-000143-V8 Used Working
      AMAT Applied Materials PVD Chamber Source 3 Used Untested As-Is
      TEL Tokyo Electron Dual Cassette Wafer Transfer Robot Untested As-Is
      AMAT Applied Materials 9091-00639 Electrostatic Chuck DC Power Supply Used
      Nikon KAB11010/3101-0 Power Box OptiStation 7 Used Working
      Sumitomo X88D1-0001 Linear Motor Driver Nikon 4S587-651 NSR-S307E Used Working
      KLA-Tencor 45° Inspection Mirror Assembly AIT I Surfscan 284726 Used Working
      MDX-5K AE Advanced Energy 2011-081 A Magnetron Nordiko Used Tested Working
      ITK Pegasus N-Axis Hi-Res Positioning Controller KLA-Tencor 11301400543000 Used
      Asyst Technologies 9701-2080-02 Robot Controller PC SMART PLUS 3.00.0.1.2.0 New
      MDX-2.5K AE Advanced Energy 3152224-039A Magnetron Nordiko Used Tested Working
      Yaskawa XU-RC350D-C51 Dual Arm Wafer Handling Robot with End Effectors As-Is
      KLA-Tencor 11301400403000 System Controller Macro Computer PC Used Working 
      Comet 20033653 RF Match Lam Research 27-382473-00 Used Working
      Brooks Automation 129973 Series 8 Robot Controller Used Working
      ADTEC Plasma Technology AMU-3000DPX Automatic Impedance Matching Unit Used
      Yaskawa Electric XU-RSM0220 Dual Arm Wafer Handling Robot Ebara EPO-222T As-Is
      SVG 28-543013-01-001 9038S/9037S Bake & Chill Station 28-43015-01 9044S 90S Used
      TDK Corporation TAS300 300mm Wafer Load Port Type E4 Used Working
      Nikon NSR-Series Robot Rail Used Working
      Hitachi Electrostatic Chuck M-712E Used Working
      CX-2500 Comdel FP3305R1 RF Generator 2.5kW @ 3.39MHz Used Tested Working
      CPMX-2500 Comdel FP2330R1 Automatic RF Matching Network Matchpro New Surplus
      Pfeiffer Balzers DUO-016B Rotary Vane Vacuum Pump Assembly As-Is
      Lam Research 852-011200-003 Entrance Loadlock Assembly 853-012123-001 4420 Used
      Lam Research 852-011201-583 Exit Loadlock Assembly 853-012123-001 4420 Used
      Lam Research 853-024403-100-10 Orbital Gas Panel Assembly 4420 Etcher As-Is
      Yaskawa XU-CM2500 Robot Controller OST7-01-031-3 Nikon OPTISTATION 7 Working
      Lam Research 852-011201-001 Exit Loadlock Assembly Rev. J 853-012123-001 Used
      Lam Research 852-011200-500 Entrance Loadlock Assembly 853-012123-500 Used
      Lam Research 852-011201-500 Exit Loadlock Assembly 853-012123-500 4420 Used
      Lam Research 853-024403-583-A Orbital Gas Panel Assembly 4420 Etcher As-Is
      Lam Research 852-011201-001 Exit Loadlock Rev. G 853-012123-001 4420 Etcher Used
      Lam Research 853-024403-100-9 Orbital Gas Panel Assembly 4420 Etcher As-Is
      Lam Research 852-011200-003 Entrance Loadlock 853-012123-001-E-230S Used Working
      Lam Research 852-011200-583 Entrance Loadlock Assembly 853-012123-001 Used
      Lam Research 852-011201-001 Exit Loadlock Assembly Rev. E 853-012123-001 Used
      Hitachi CR-712V Wafer Transfer Clean Robot Sanki Technos Used Working
      Asyst Technologies 0FH3000-001 Prealigner Hitachi I-900SRT Used Working
      CDX-2000 Comdel FP5320R3 Dual RF Generator AMAT 0190-15399 Used Tested Working
      VAT 64250-CE52-1101 Motorized Actuator HV High Vacuum Gate Valve Used Working
      CTI-Cryogenics 8116266G001R On-Board 10F Cryopump 5039 Hours Used Tested Working
      VAT 64250-CE52-1101 Motorized Actuator HV High Vacuum Gate Valve Cut Screw Used
      CX-5000S Comdel CX-5000S/13 RF Power Supply 5000W 13.56MHz Used Tested Working
      CX-200S Comdel FP3013R1 V-Quad RF Generator 13.56MHz CXV-200 Used Tested Working
      VAT 14050-CE44-AAC1 Pneumatic Actuator HV High Vacuum Gate Valve Used Working
      Schumacher 1464-0322B ATCS-15 H.T Bubbler 1462-1515B ABU 1461-1522A Refurbished
      VAT 92548-PA21-AAK1 Pneumatic Pendulum Isolation Valve AMAT 3870-02618 New
      VAT 16548-PA21-AAB1 Pneumatic Pendulum Isolation Valve Series 16.5 New Surplus
      DNS Screen FC-3000 Wafer Indexer Assembly 300mm Used Working
      Yaskawa XU-DL1910 Linear Motor Controller Nikon 4S587-288 NSR-S205C Used Working
      Sumitomo M28511 ADE1 H Control Unit Nikon 4S587-245-2 NSR-S205C Used Working
      Sumitomo M28512 ADE1 V Control Unit Nikon 4S587-280-2 NSR Series Used Working
      IPX 500A Edwards A409-14-977 Vacuum Dry Pump Used Tested Working
      Daifuku Storage Box Handler Untested As-Is
      Dynatronix 990-0280-311 Pulse Power Supply Assembly PMC10-5-7 PR Refurbished
      Nikon Wafer Mask Reticle Indexer NSR-S202A Used Working
      RFPP RF Power Products 0334-717-01 RF Source & Matching Network 8400003010 Used
      Sparc-le V AE Advanced Energy 3152330-003 A DC Pulsing ARC Used Tested Working
      Nikon SPA451B W X Linear Motor Controller 4S588-463 Used Working
      Yaskawa XU-RC350D-D91 Dual Arm Wafer Handling Robot with End Effectors As-Is
      KLA Instruments 710-650099-20 KLA DP PCB Card 073-650098-00 Rev. L0 2132 Used
      Nikon SPA452A WYL Linear Motor Controller 4S587-469-3 Used Working
      Hitachi S-9300 Wafer Inspection Transfer Robot Scanning Electron Microscope Used
      Brooks Automation 154622 Robot Controller Series 8 Novellus Used Working
      Saint-Gobain PFD3 322AI Controlled Flow Pump AstiPure New
      Dynatronix PMC105/2-2-4/15-30 Pulse Power Supply 990-0298-151 New Surplus
      AMAT Applied Materials 0240-30808 Pedestal Kit 200mm New Surplus
      Nikon 4K171-841-3 Controller NSR-S202A Used Working
      Nikon 4K171-841-2 Power Controller RR-002V1.3 NSR-S202A Used Working
      ASM Advanced Semiconductor Materials 2872048-01 INSTL Heat Exchanger STD New
      Metron A127669 POD Door Short Weldment Rev. C TEL Tokyo Electron New
      Nikon 4S015-184-1 Processor Control Card PCB NK-C443-1 NSR-S205C System Used
      ASM 93000-07445 WAFER ALIGNER
      Asyst Technologies 9700-9129-01 300mm Wafer Load Port IsoPort Incomplete As-Is
      TMP Shimadzu TMP-3203LMC-A1 Turbomolecular Pump Used Tested Working
      Osaka TG3413E Compound Molecular Pump Set TC3200 Controller Used Tested Working
      AMAT Applied Materials 9090-00923 Processor Vacuum Control Rev. B Quantum X Used
      Nikon 4S018-830 Drive Control Card PCB EPDRV2-X2A2 NSR-S204B System Used Working
      Kawasaki NS110C-B001 Chuckbot Robot 4K192-238-4 NSR-S205C Sheared Pin As-Is
      Nikon 4S018-547 Drive Control Card PCB BLDRVX3 NSR-S204B System Used Working
      DCG-100Z Optima ENI SPR S03-111 DC Plasma Generator AMAT 0190-14212 Refurbished
      AMAT Applied Materials AM9090-00923ITL Processor Vacuum Control Chassis Used
      Jenoptik 4022.454.9932.2 ASML BP Mirror 193nm Twinscan XT:1250 New
      Diahen AGA-50G-V RF Power Generator AGA-50G Used Working
      Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E DUV Sheared Pin As-Is
      Nikon 4S014-105-1 Relay Control Card PCB OF3CNT2 NSR-S202A System Used Working
      Daihen ES7 RF Power Generator Used Working
      Nikon 4S018-708 Relay Driver Card PCB EPDRVX4 NSR-S205C System Used Working
      XDS 10 Edwards A726-01-903 Dry Scroll Vacuum Pump XDS10 15 mTorr Refurbished 
      Yaskawa XU-CM2500 Robot Controller Nikon KAB11320/201B-0 Used Working
      Seiko Seiki SCU-H1000C Turbomolecular Pump Control Unit STP-H1000C Used
      Applied Ceramics 91-01768A Dome Tapered Style AMAT 0200-40218 New
      Lam Research 853-012500-002 ASSY, Edge Sensor
      ASM 2841436-01 ASSY, Touchscreen Reactor
      ASM 1048-432-01 Kit Advance Purchase Elec Add Universal New 
      Asyst Technologies EMA 18-010968 G 4900 Loader Used Working
      Brooks Automation 10600-10 200mm Pre-Aligner Chamber Used Working
      Newport 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Copper Exposed Used
      Newport Kensington 25-3700-1425-08 300mm Wafer Transfer Robot Used Working
      Newport 15-3701-1425-25 300MM Wafer Transfer Robot AMAT 0190-19124 Used Working
      TEL Tokyo Electron T-3044SS Process Chamber Parts Kit Used Working
      Newport 35-3700-1425-18 Wafer Transfer Robot AMAT 0190-22248 Used Working
      Newport Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used
      MDX Pinnacle AE Advanced Energy 0190-25721-001 Power Supply AMAT Tested As-Is
      Newport Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Cu Used
      TEL Tokyo Electron Drive Assembly PQL-INT PCB 3281-000151 P-8 Used Working
      ASM AMERICA 02-320586D01 Reflector-Center-Assy
      ASM 1012-522-01 Upper Lamp Array Assembly ASSY-UPPER LAMP ARRAY New
      SC 30 D Anest Iwata ISP-500B Scroll Vacuum Pump SC30D Used Tested Working
      ESDP30 Edwards ESDP 30 A Scroll Vacuum Pump Anest Iwata Used Tested Working
      SCROLLVAC SC 30 D Leybold 133 102 Scroll Vacuum Pump 45501 Hours Tested Working
      SoftSwitching Technologies DySC 50A-480V-3P-4W-S0 480V Sag Corrector Used
      SoftSwitching Technologies DS30050A480V4SH2000B 480V Sag Corrector PRODySC Used
      SoftSwitching Technologies DS30050A208V3SH2000B 208V Sag Corrector PRODySC Used
      SoftSwitching Technologies DS30050A480V4SH2105A 480V Sag Corrector PRODySC Used
      SoftSwitching Technologies DS30050A480VSH2000A 480V Sag Corrector PRODySC Used
      Kensington PREALIGNER Module CSMT-4 Compact Wafer Sorter w/FORESIGHT Cables Used
      Lam Research 716-011759-015 5" Edge Focus Ring 716-11759-15 New
      View Engineering 2870240-1 System Controller 8100 3-D Scanning System Used
      Lam Research 716-031535-003 Ring Clamp BOT CER ESC XL New
      Asyst 4002-6633-01 Spartan EFEM Wafer Mapper Shuttle FRID Rev. 002 Used Working
      Lam Research 716-140118-001 8" ESC Focus Ring New
      Lam Research 716-031782-001 6" Domed Wafer Clamp New
      Thermo Neslab 622023991801 Heat Exchanger DIMAX No Panels Tested As-Is
      iL70N Edwards NRB4-46-945 Dry Vacuum Pump Used Tested As-Is
      RF-30SWC RFPP Power Products 3150017-026 RF Generator RF30S Used Tested Working
      Nikon FIA Autofocus Bulb Housing NSR-S204B Scanning System Used Working
      Daihen 3D39-050098-V2 RF Match 13.56MHz TEL Tokyo Electron Used Working
      Riken Keiki OX-571A Oxygen Analyzer Reseller Lot of 38 Used Working
      Nikon WS Shock Absorber (RFC) Nikon NSR-S204B Scanning System Used Working
      AMAT Applied Materials 0010-76001 Precision 5000 Cleanroom Storage Elevator Used
      Glassman PS/ER06N25.OYZ4 6KV 25MA Power Supply Series ER AMAT 9090-01265 Used
      AMAT Applied Materials 9010-00299 Quantum Process Module Load Lock Door Used
      MECS OFH3000-001 Wafer Prealigner Hitachi I-900SRT Used Working
      Lam Research 852-0112200-003-E Entrance Loadlock Bottom View Assembly As-Is
      Trebor 2472-AF Heater 2472-DI Untested As-Is
      Lam Research 852-011201-581-E1-230 Exit Loadlock Bottom View Assembly As-Is
      Lam Research 852-0112200-500-E1-230D Harmonic Arm Drive Assembly 4420 As-Is
      Trebor 2472-AD Heater 2472-DI Untested As-Is
      Nikon WLT31 Wafer Stage Robot Controller Ver 2.40 NSR-S204B System Used Working
      Nikon 4K177-955-2 Reticle Loader Robot Controller RR-W07 Ver 4.2 NSR-S204B Used
      PDX 1250 AE Advanced Energy 3156024-131 A RF Generator Used Tested Working
      Nikon WLT31 Wafer Loader Robot Controller Ver 2.50 NSR-S204B System Used Working
      Nikon 4K177-955-4 Reticle Loader Robot Controller RR-C07 Ver 6.3 NSR-S204B Used
       Nikon 4K177-955-3 Reticle Loader Robot Controller RR-B07 Ver 6.2 NSR-S204B Used
      S&C Electric 4145-9 Data Interface for 312kVA Bridge PCB 005-145-6 Used Working
      Trazer 1308-002 RF Match Network AMU1A-20 Matrix System 10 Style 1104 Used
      Nikon 4S014-182 PCB Board Chassis AF-I/FX4A NSR-S307E Used Working
      Lasertec Right Optical Stage Table MD2500 Photomask Reticle Used Working
      Lasertec Left Optical Stage Table Lasertec MD2500 Used Working
      SVG Silicon Valley Group Robitech PCB Module 879-0791-001 980-2300 Used Working
      Mitsubishi FT-3301W-WINI Turbo-Molecular Pump Turbo TEL 3D80-000531-V1 Used
      TEL Tokyo Electron 5087-403592-14 Cup Washer Holding Stage (2) CWH Lithius Used
      Glassman PS/ER06N25.OYZ4 6KV 25MA Power Supply Series ER AMAT 9090-00473 Used 
      Kyoto Denkiki KDS-30350 DC Power Supply Used Working
      KLA-Tencor #088/KE10600-M Cooling Module 64000 Quantox Used Working
      Nikon 4S602-208-1 SHRINC Revolver 135603 NSR-S204B Step-and-Repeat Used Working
      FEI Company 4035 272 26092 Preamp CDEM Assembly Mod 19201 CDEM CLM-3D Used
      RF30H RFPP RF Power Products 660-093816-001 RF Generator 7522170011 Tested As-Is
      Nikon 4S018-378 Relay Control Board PCB MAC-CTRL-1 NSR-S204B Used Working
      RF30S RFPP RF Power 3150017-026 RF Generator RF-30S Missing Parts Untested As-Is
      Nikon 4S018-379 Scanner Board PCB Card SPIOX2 NSR Used Working
      Nikon 4S018-379 Control Board PCB SPIOX2 NSR-S204B Non-Copper Used Working
      Kyoto Denkiki KDS-20170W Dual Output Power Supply Hitachi MU-712E Used Working
      KLA-Tencor 0023936-001 Power Assy LPM AIT UV Used Working
      HP Hewlett-Packard 5517B Laser 10764-91000 AMAT Orbot WF 720 200mm Agilent Used
      KLA-Tencor 0052196-007 MMD Analog PCB 0021488-001 AIT UV Used Working
      Asyst Technologies 15534-001 Wafer Pre-Aligner Model 5X Used Working
      Densei-Lambda DPS2800 Power Supply TEL Tokyo Electron Lithius Used Working
      Hitachi Wafer Pre-aligner and Control Assembly M-712E Used Working
      AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. D Used
      Marathon Electric BVL 326TTDP4347ADL AC Electric Motor E11135480 Series E Used
      Tazmo NSR18 Robot Controller Nikon 4S064-548 NSR-S306C Used Working
      Nikon 4S065-171 IU-IOP1 Controller NSR-S307E Used Working
      Nikon 4S065-172-1 Beam Matching Unit Controller IU-IOP2 NSR-S307E Used Working
      AMAT Applied Materials 0010-10328 Oxide ESC Shell 200mm
      ASM 02-327347D03 ASSY-INJECTOR FLANGE RP RH STEPPED
      ASM 73055-72384 Insulator Plate CBS RC1
      Oxford Instruments 7426 EDS X-ray Detector with Link ISIS computer (20607-001)
      Plasma Therm Etch Chamber
      Brooks Automation TEC-300 Controller SMC-S PRI FEI CLM-3D Used Working
      Glassman PS/ER06N25.0YZA 6kV Power Supply AMAT 9090-01265ITL Used Working
      Glassman PS/ER06N25.0YZ4 6kV Power Supply AMAT 9090-00473ITL Used Working
      Yaskawa ERCR-SS23-A005 Robot Controller Used Working
      Nikon 4S064-549 WL IOP NSR 17 NSR-S306C Used Working
      TEL Tokyo Electron PB122-U300-02W AC Power Box ACT12 Incomplete As-Is 
      ASM 16-405066-01 PLATE-SHOWER N2 PURGE ALD6550
      TEL Tokyo Electron ES3D10-101276-V1 Shield Depo D3.0P35.6 W-LB N Refurbished
      Nikon Pellicle Particle Detector PPD 4S007-609 PPD-EXBDY NSR-S204B As-Is
      ASM 83-125000A56 End Effector ATM RB (SUP by 16-180503D01)
      SCROLLVAC SC 30 D Leybold 133 102 Scroll Vacuum Pump 16237 Hours Tested Working
      TEL Tokyo Electron 5085-412389-11 Scan Arm L&R DEV ASSY Lithius Used Working
      Tegal 33-527-001 Bellow Chuck New Surplus
      XYCARB CERAMICS 10210015 Wide Body Bell-Jar New Surplus
      TDK MSE181H DC Power Supply TEL Tokyo Electron ACT12 Used Working
      ASM 77-123776A48 SENSOR-WAFER LVL30 New
      SCROLLVAC SC 30 D Leybold 133 102 Scroll Vacuum Pump 23326 Hours Tested Working
      Kensington Laboratories WFH3B TT/LR/HS Wafer Handling Robot CSMT-4 Used Working
      AI Alessi Remote 5000 Series 200mm Wafer Prober AER-55 Cascade Microtech As-Is
      AMAT Applied Materials 404663 300mm Source 4 CPI-VMO Chamber Copper Used Working
      IDI 203-M8L1B-S IDS Dispenser Photoresist Lot of 2 Incomplete Untested As-Is
      Sigmameltec RTS-500 Power Control Chassis E-810.10 260-00095-01 Used Working
      IDI Integrated Designs 203-MC6L1S IDS Dispenser Photoresist No Power As-Is
      Brooks Automation FIXLOAD 25 300mm Silicon Wafer Load Port Used Working
      Brooks Automation 013077-079-20 300mm Load Port FIXLOAD 25 Used Working
      RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Tested Low Output 18W As-Is
      RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Tested Low Output 30W As-Is
      AMAT Applied Materials 0021-43798 Upper NI AL ARC-SPRAY Shield 300mm PVD New
      RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Used Tested Water Leak As-Is
      RGA-50C Daihen RGA-50C-V RF Power Generator Tested Missing Valve Breaker As-Is
      TEL Tokyo Electron 2985-445336-W1 IFB Interface Block Cooling ACT12 No Rack Used
      RGA-50C Daihen RGA-50C-V RF Power Generator Tested DC Fault No Output As-Is
      Daihen WGA-50E-V RF Power Generator Stack Tested Not Working
      Opal 320-250326 System Control SBC Board Assembly AMAT SEMVision cX Used Working
      Daihen WGA-50E-V RF Power Generator Stack Tested As-Is
      TEL Tokyo Electron ES3D05-250476-V1 Holder Insulator 290-381-9Q New Surplus
      TEL Tokyo Electron ES3D10-250834-V1 CEL OX T10-75-C912 (COC-N) New Surplus
      Daihen WGA-50E-V RF Power Generator Stack Tested Not Working Dew Fault As-Is
      Nikon Laser Lens Reflection Assembly NSR-S307E Used Working
      TEL Tokyo Electron 3D10-100293-V1 Upper Body Electrode New
      KLA-Tencor #98/KE10600-M Cooling Module 64000 Quantox Used Working
      TURBOVAC SL80 Oerlikon Leybold 800002V3001 Turbomolecular Pump Turbo Used
      SCI BOC 5386278-001 Gas Cabinet Control Box SpecraSafe Used Working
      TURBOVAC 90i Oerlikon Leybold 810031V1000 Turbomolecular Pump No Controller Used
      SCI BOC 5386249-001 Gas Cabinet Control Box SpecraSafe Used Working
      ASM 1004-550-01 KPM 200MM OPR RP COVALENT Kit New Surplus
      PDP 2500 AE Advanced Energy 3156012-002A RF Pulsed Plasma Used Tested Working
      Yaskawa XELM-8DNNQX71 Y Axis Motor Assembly 4K179-460 NSR-S307E 300mm DUV Used
      TEL Tokyo Electron 838 SHU Shuttle Slider Assembly ACT12-300mm Used
      Yaskawa XELM-8DNNQY72 Y Axis Motor Assembly 4K179-461 NSR-S307E 300mm DUV Used
      Sumitomo M28489 Linear Lift Elevator YRaxisVCM1 NSR-S307E 300mm DUV Used
      Yaskawa XELM-8DNNQY71 Y Axis Motor Assembly 4K179-462 NSR-S307E 300mm DUV Used
      Sumitomo M28488 YL Axis Linear Lift Elevator YLaxisVCM1 NSR-S307E 300mm DUV Used
      Yaskawa XELM-8DNNQZ71 Z Axis Motor Assembly 4K179-464 NSR-S307E 300mm DUV Used
      Komatsu 20000300 Temperature Controller AIH-64QS-T5 TEL PR300Z Used Working
      Sumitomo M28487 Linear Lift Elevator XaxisVCM1 NSR-S307E 300mm DUV Used
      TEL Tokyo Electron Servo Drive Control Rack Used Working
      Lam Research 839-038786-001 Research Silicon Electrode Assembly New
      Kokusai Electric Vertron Wafer Rotation Assembly Used Untested As-Is 
      TEL Tokyo Electron Servo Drive Control Rack with Broken Switch Used Working
      AMAT Applied Materials 0020-99521-005 Al Alloy Shield Inner eSIP 300mm Used
      Asyst Technologies 05050-017 Wafer Pre-Aligner Model 5 ASM Epsilon 3000 Used
      Invax Technologies Echuck PVD 300mm ESC-9232 New
      Mactronix AWI-600 200mm Wafer Prealigner Handler Sorter Used Working
      Invax Technologies ESC-9232B Electrostatic Chuck AM-END-500C-12SB New Surplus
      Komatsu 20010210 Energy Saving Chiller AK-1000 AMAT 3380-00033 New
      Nikon 4S018-716 Relay Controller Card PCB OPDCTRL3 NSR-S205C System Used Working
      Thermo Noran 700P135927 Microanalysis System C10006 Rev. I Used Working
       Nikon 4S018-163 SHRINC-CTRL Control Card PCB NSR-S202A System Used Working
      Nikon 4S018-753-1 Relay Driver Card PCB AFDRVX4A NSR-S205C System Used Working
      Thermo Noran 700P135927 Microanalysis System C10006 Rev. K Used Working
      Lam Research 15-307276-00 Quartz Window Sola MSR-FSR 633015174 Cu Refurbished
      Thermo Noran 700P135927 Microanalysis System C10006 Rev. J Used Working
      ASM Advanced Semiconductor Materials 2949717-01 TPSS-CU Heat Barrier Copper New
      KoMiCo Technology 633000021 CU LH HHP SLAM Cover 633007480 Copper
      XDS 10 Edwards A72601906XS XDS Dry Vacuum Pump XDS10 Used Tested Working
      Nikon 4S064-549 17 Tazmo WL IOP NSR-S205C Wafer Loader Used Working
      KLA Instruments 655-653668-00 Microscope Turret with Leica Objectives 2132 Used
      Asyst Technologies 05050-017 Wafer Pre-Aligner Model 5 Used Working
      Axcelis Microwave Waveguide Assembly GAE GA3107 10661 Fusion ES3 Used Working
      Axcelis Microwave Waveguide Assembly ASTeX GL219 624811 Fusion ES3 Used Working
      Tazmo 45064-549 Nikon Robot Controller Nikon NSR 17 Used Working
      TEL Tokyo Electron D3.0 P35.6 W-LB N Shield Depo Cleaned Used Working
      CX-2500 Comdel FP3305R1 RF Generator 3.39MHz Tested Not Working As-Is
      AMAT Applied Materials 0010-22569 CPI-VMO Chamber Source D Rev. 003 As-Is
      AMAT Applied Materials 0010-22569 Source Chamber Top CPI-VMO AMAT Endura 300mm
      AMAT Applied Materials 0021-41520 Source Chamber Top AMAT Endura 300mm
      AMAT Applied Materials 0010-22568 Source Chamber Top CPI-VMO AMAT Endura 300mm
      Yaskawa Sumitomo Controllers Reseller Lot of 4 Not Working As-Is
      Key GV-6AP-4010780 Gate Valve Throttle Valve Assembly MRC Eclipse A112990 Used
      Nikon 4K177-955-2 Power Controller 4S587-244 NSR-S204B Step and Scan Used
      TEL Tokyo Electron PB1-U125-01-T AC Power Box CleanTrack ACT8 Used Working
      Tencor Instruments 289787 Timing Generator Board PCB Card KLA-Tencor AIT I Used
      Equipe Technologies PRE-2767 Prealigner PRE-200 Series Used Working
      KLA-Tencor 515051 Wafer APS2 AIT2 Board PCB Card AIT I Used Working
      Nikon 4S007-959-B Detector Assembly EX-S/H-2 NSR-S204B Used Working
      Tencor Instruments 285293 GPIO S8000 Board PCB Card KLA-Tencor AIT I Used
      Trebor M25R-EE Pump Maxim 25R New
      SVG Silicon Valley Group 99-4228-01 Vacuum Bake Station 9039 90S DUV Used
       Yaskawa XELM-8DNNQX71 Axis 4K179-460 Nikon NSR-S204B Used Working
      Sumitomo M28489 YR Axis VCM1 4K191-750 Nikon NSR-S205C Used Working
      Axcelis Microwave Waveguide Assembly GAE 910677 Fusion ES3 Used Working
      Brooks 013077-054-20 300mm Load Port FIXLOAD 25 with Transport Axcelis ES3 Used
      Yaskawa ULVI-A5-NQ62 Theta Reticle Stage Motor NSR-S204B Main Body Used Working
      Axcelis Microwave Waveguide Power Suppy GAE GA3107 H3862 Fusion E53 Used Working
      Sumitomo M28487 X Axis VCM1 4K191-748 Nikon NSR-S205C Used Working
      Sumitomo M28488 YL Axis VCM1 4K191-749 Nikon NSR-S205C Used Working
      Nikon Wafer Stage Cable Guide NSR-S205C Main Body Used Working
      Novellus 02-304871-00 RF Match Assembly Aluminum SST-PEEK 1.12 New
      Komatsu 20010120 Energy Saving Chiller AKX-3000-V AMAT 3380-00034 New
      Yaskawa XELM-8DNNQY72 Axis 4K179-461 Nikon NSR-S204B Used Working
      Axcelis Microwave Waveguide Assembly ASTeX GL219 00495001 H3862 Fusion ES3 Used
      AMAT Applied Materials 0240-61428 Quantum Leap II Heat Exchanger Untested As-Is
      CX-2500S Comdel FP3323R1 RF Generator 13.56Mhz Tested Used Working
      AMAT Applied Materials 0010-25341 CPI-VMO Chamber Source 1 Untested As-Is
      AMAT Applied Materials 0010-27504 Source Assembly Encore 2 Cu Used Working
      MTI Muto Technology MT-49950 Sput #2 TiW Shield Kit MRC D123611-A New
      MTI Muto Technology MT-49951 Sput #3 Cu Shield Kit MRC D123611-A New
      CDX-2000 Comdel FP5321R5 Dual RF Generator AMAT 0190-23895 Not Working As-Is
      MTI Muto Technology MT-49949 Sput #1 TiW Shield Kit MRC D123611-A New
      Ebara A10S Multi-Stage Dry Vacuum Pump with 16581 Hours Used Tested Working
      Ebara A10S Multi-Stage Dry Vacuum Pump with 10071 Hours Used Tested Working
      TEL Tokyo Electron 5027-678469-11 WCPL/TRS Water Controlled Chill Plate New
      Yaskawa ULSI-42-NQ11 Y-Axis Linear Magnet Motor NSR-S204B Main Body Used
      Agilent PTS03101UNIV TriScroll Dry Vacuum Pump Genus Lynx2 Used Tested Working
      Owens Design 3464-003 Sapphire Tester Autoprober With Controller Untested
      ATMI ESCA Difusion Furance Kit 233405043 233405641 New Surplus
      Agilent 4022.471.78842 WIPA PPCA VMEbus PCB Card ASML New Surplus
      CX-2000 Comdel FP3365RA RF Generator CV2000 AMAT 0190-31017 Used Tested Working
      Ebara A10S Multi-Stage Dry Vacuum Pump with 30997 Hours Used Tested Working
      Ebara A10S-S Multi-Stage Dry Vacuum Pump 10968 Hours Used Tested Working
      Yaskawa XU-RC350D-D91 Dual End Effector Wafer Handling Robot Incomplete As-Is
      Ebara A10S Multi-Stage Dry Vacuum Pump with 30634 Hours Used Tested Working
      Ebara A10S Multi-Stage Dry Vacuum Pump with 50538 Hours Used Tested Working
      QMB500 Edwards A302-86-905 Mechanical Booster Vacuum Pump 60Hz QMB Refurbished
      Ebara A10S Multi-Stage Dry Vacuum Pump with 49499 Hours Used Tested Working
      Ebara A10S-S Multi-Stage Dry Vacuum Pump 23529 Hours Used Tested Working
      AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. C Used
      Daihen AGA-27C-V RF Generator HFA-30A-V TEL 3D80-000825-V3 Used Tested Working
      Hitachi 568-5530 Power Supply Assembly COL-DCPS S-9300 CD SEM Used Working
      L & W Machine Company 1021-161-01 Plate Shower Parts Kit 1022-360-01 Used
      Thermo Neslab 622023991801 Heat Exchanger DIMAX Tested Not Working As-Is
      West Coast Quartz 91-01627A Silicon Slab AMAT Applied Materials 0200-40013 New
      West Coast Quartz 91-01627RW Silicon Slab AMAT 0200-40013 Refurbished
      AMAT Applied Materials 0200-10325 Ceramic Dome DPS Metal
      Lam Research 810-25417R Screen, Flat 300MM POLY DSP2 REPAIR
      ASM 1079-518-01 KIT-COOLER S3 W/IO HEAT UNIV K-MOD BLKR
      Nikon 4S586-946-1 Linear Motor Amplifier SPA136A NSR-S205C System Used Working
      Nikon 4S586-946-1 VCM Amp SPA136A Nikon NSR System Used Working
      iQDP40 Edwards A532-40-905 Dry Vacuum Pump with QMB250 Blower Tested As-Is
      QDP40 Edwards A528-40-905 Dry Vacuum Pump with QMB250 Copper Cu Tested As-Is
      KLA-Tencor 0023936-001 Power Assy LPM AIT UV Used Working
      Asyst Technologies 860 Vacuum Arm with Arm Controller Board PCB 06764001 Used
      ASM 78-120442A07 OBS End Effector Edge Grip 300mm
      Advantest AAT-0078A106-1 Controller H4-410062 Advantest M454AD Used Working
      Edwards Y12501169 Helios Combustion Chamber Head 500052685 Used Working
      Nikon 4S007-959-B Detector Assembly EX-S/H-2 NSR System Used Working
      Brooks Automation 6-0001-0817-SP Linear Robot Track LTRA 042-SBI SEMVision Used
      Edwards NRB086000 Helios Unlabeled Combustion Chamber Head Used Working
      MRC Materials Research 368789-0 Asyst Theta Arm Set with Controller Used
      MRC Materials Research Asyst 06764 001 Vacuum Arm Controller 023092 Eclipse Used
      Novellus Systems 02-00119-00 Geneva Drive Assembly
      ASM 16-400505-01 PLATE-INSULATOR
      KLA-Tencor CRS-3000 Microscope Assembly Olympus BH3-5NRE-M Sony CMA-D2 Used
      Hitachi M-511E Power Unit Microwave Plasma Etching System Used Working
      Daihen AMN-30F-V RF Auto Matcher TEL Tokyo Electron 3D80-000142-V6 Used Working
      AMAT Applied Materials 4332 B CVD Configured Chamber Precision 5000 Mark II Used
      AMAT Applied Materials 0290-09018 Heat Exchanger AMAT 0 Untested As-Is
      DCG-200A ENI DC22S-A022000010AL RF Generator Slave Rev. D AMAT 0190-07963 Used
      Brooks Automation 001-4700-12 Genus InCooler Cooling Station Used Working
      DCG-200A ENI DC24M-A041300110AL RF Generator Master Rev. C AMAT 0190-07962 Used
      DCG-200A Optima ENI DCG-200A-S00 RF Generator Slave Rev. B AMAT 0190-07967 Used
      DCG-200Z ENI DC22S-Z122000010A DC Generator Slave Rev. F 0190-07965 Refurbished
      DCG-200A ENI DC22S-A022000010AL RF Generator Slave Rev. C AMAT 0190-07963 Used
      DCG-200A ENI DC22S-A022000010AL RF Generator Slave Rev. E AMAT 0190-07963 Used
      DCG-200A ENI DC24M-A041300110AL RF Generator Master Rev. G AMAT 0190-07962 Used
      DCG-200A ENI DC22S-A022000010AL RF Generator Slave Rev. F AMAT 0190-07963 Used
      DCG-600Z Optima ENI SPR S03-108 DC Generator Master AMAT 0190-14207 Refurbished
      AMAT Applied Materials 0010-22569 Chamber Assembly 409909-P4-ECH2 Rev. 001 Used
      Brooks Automation 002-0000r02 Wafer Handling Robot Untested As-Is
      Balzers 150mm Wafer Carousel Assembly LLS 801 Sputtering System Used Working
      Balzers 200mm Wafer Carousel Assembly LLS 801 Sputtering System Used Working
      AMAT Applied Materials 9010-01181 ITL Load Lock Door Module 0021-07994 Used
      AMAT Applied Materials 9010-00299 ITL Load Lock Door Module 0021-07994 Used
      Hitachi CR-712T Series Wafer Transfer Clean Robot Sanki Missing Sensors As-Is
      CFT-75 Neslab 34910404121 Refrigerated Recirculator Used Tested Working
      Sumitomo Electric Industries 4S587-245-2 ADE1 H Control Unit NSR Used Working
      CFT-75 Neslab 395104041507 Refrigerated Recirculator Used Tested Working
      Faulhaber 050509 145 MINIMOTOR SA Nikon NSR System Used Working
      Faulhaber 993397 489 MINIMOTOR SA Nikon NSR System Used Working
      Faulhaber 021043 192 MINIMOTOR SA Nikon NSR System Used Working
      Haldex Hydraulic Reservoir Tank Pump with Dayton Industrial Motor Used Working
      Nikon 4S007-837 Interface Control Board PCB AF-PSDXB NSR-S202A Used Working
      ASQ Technology AT2S8-25 200mm Wafer Transfer Machine Victor Used Tested Working
      Asyst Technologies 9701-1256-01 300mm Load Port  S3 FL, BB MAP, A-TAG, 1BUT Used
      Kokusai CX2001 Controller Zestone DD-1203V 300mm Used Working 
      AceCo S33-1574Y Upper Electrode Y203 300mm New
      TEL Tokyo Electron ES3D10-202163-V1 300mm Depo Shield Refurbished
      AceCo S33-1862Y Depo Shield Y203 New
      Kensington PREALIGNER Module CSMT-4 Compact Wafer Sorter w/FORESIGHT 200mm Used
      Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E DUV Cut Cables As-Is
      AMAT Applied Materials 0010-21745 Endura 5500 Operator Control Panel Used
      Nikon 4S014-114 Relay Control Card PCB LC-CTL3 NSR-S202A System Used Working
      Nikon 4S018-751 Relay Driver Card PCB LMDRVX4 NSR-S205C System Used Working
      Nikon 4S018-750 Relay Control Card PCB SPIOX4 NSR-S205C System Used Working
      AMAT Applied Materials 0040-61813 200mm Chamber Centura RTP Used Working
      Asyst Technologies 9700-5158-01 300mm Load Port SIMF-300FL Used Working
      AMAT Applied Materials 0040-61814 200mm Chamber Centura RTP Used Working
      AMAT Applied Materials 0010-70001 8" Cassette Handler 0223-09246 P5000 Used
      TEL Tokyo Electron D3.0 P35.6 W-LB N Shield Depo Some Wear Cleaned Used
      AMAT Applied Materials 0010-22567 CPI-VMO Chamber Source 3 Rev 003 As-Is
      HX+75 A Thermo Electron 386105021704 Recirculating Chiller Used Tested Working
      ADTEC AX-2000EUII-N RF Generator AX-2000EUII Untested As-Is
      Furukawa UCTM-201-FH 150mm Wafer UV Film Tape Mounter Used Tested Working
      Leybold 844235 COOLVAC Power Supply AMAT Applied Materials 0190-03798 New
      Hitachi Power Distribution and EMO Assembly 560-5519 569-5524 S-9300 CD SEM Used
      Indramat 222721 Servo MAC112C-0-ED-1-B/130-A-0/-I00625/S005 AMAT 1080-90009 Used
      Nikon 24098-1146 Arched Holder Z Axis KAB11240/A230-2 Optistation 7 Used Working
      Pyramid 0500-00232 X-Scan Controller 0120-01840 9000-03062 AMAT 9000-04006 Used
      AE Advanced Energy 3155126-011 A RF Match Navigator
      Sigmameltec RTS-500 Linear Developer Dispenser SGM-01U312C SGMP-01U312 As-Is
      RECIF Technologies SPPF50A60000 Wafer Handler Assembly SPP300F05 SPP300 Used
      Verteq 1076584-3C / 1076585-1 8201 Primary Processor RD Controller Set
      Verteq 1076584-3 / 1076585-1 8201 Primary Processor RD Controller Set
      Hamamatsu C8779K CCD VRA Fine Camera S8769K NSR-S205C Used
      KLA-Tencor 0033743-000 Illumination Optics Casting Assembly AIT UV Used As-Is
      ASM 78-123494A04-R ASSY-SCARA ARM-10.5
      PRI Automation GATEWAY-1000-CE-S2 300mm Load Port Used Working
      Hamamatsu C8779A CCD Multichannel Detector Head S8769A Nikon NSR-S204B Used
      Pacific Precision Labs ST.SL0808.RR.P200 X-Y-Theta Stage Opti-Probe 2600B Used
      ASM 02-337061-01 ASSY-H2 CHASSIS S2 STANDARD V1
      Thermo Polysonics Ultrasonic Flowmeter KC-DCT1088
      GALIL DMC-730-PSX 3 Axis Motion Controller
      MRC Materials Research A115893 Back Plane Heater 100mm Eclipse Star New
      Axcelis Microwave Waveguide Assembly GAE GA3107 H3862 Fusion E53 Used Working
      RF APEX 1513 AE 0190-31898-000 RF Generator 3156110-205 Used Tested Working
      KLA-Tencor 0023936-001 Power Assy LPM AIT UV Missing Panels Used Working
      ASM 16-404844-01 Weldment Launder Low Volume Rev.A
      Nikon 4S015-499-FP Single Board Computer PCB NK-MPC7457 NSR-S620D Used Working
      Nikon 4S022-001 Single Board Computer IF3X8-2 NSR-620D Immersion Used Working
      Nikon 4S019-645-1 Processor Control Card PCB AFX6BD1-MAIN NSR-S620D Used Working
      Nikon 4S025-072-1 Processor Card PCB IF2X8-HUB NSR-S620D Immersion Used Working
      Kokusai CX1204 Exhaust Controller D1E01225A D1E01133 DD-1203V Used Working
      Fujitsu 8890-D962 Power Supply Unit SPA376EP Rev 2A Nikon NSR-S307E Used Working
      Fujitsu 8890-D962 Power Supply Unit SPA376EP Rev 1A Nikon NSR-S307E Used Working
      ATP Adixen Alcatel ATP 80 Turbomolecular Pump ISO63 Used Working
      ION Systems 280 CPM Charged Plate Monitor MKS Instruments Used Working
      Sinfonia Technology SCE92100137 Dual Arm Wafer Transfer Robot Untested As-Is
      Nikon 4S587-735 VCM Amplifier SPA156A NSR-S205C System Used Working
      nuArc FT26V3UP-5KM Vacuum Exposure Unit Ultra Plus Flip Top Platemaker Tested
      Matrox IM-1280/E/1/4/F Video Board Image Series PCB KLA-Tencor 2552X Used
      KLA Instruments 710-657412-20 2132 Wafer Defect Inspection System Robot Used
      VAT F14-62425-09 Pneumatic High Vacuum Gate Valve Used Working
      Novellus 02-259457-00 C3 Vector Spindle Complete Assembly Rev. J Used Working
      Hitachi M-511E Wafer Alignment Unit Vacuum Chuck Assembly Used Working
      Hitachi M-511E Systems PCB Power Supply Module Plasma Etching System Used
      KLA-Tencor CRS-3000 Optical Assembly GSI Lumonics 000-3011003 000-3008528 Used
      Novellus 02-259457-00 C3 Vector Spindle Complete Assembly Rev. J Copper Used
      Hitachi M-511E Wafer Cassette Ergo Loader Assembly Used Working
      ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 Used Tested Working
      SVG 879-8210-001-B Signal Conditioner PCB Board A3101 Used Working
      SVG 879-8210-002-A Signal Conditioner PCB Board A3101 Used Working
      Millipore W2501PH01 Photoresist Pump Used Working
      Hitachi M-511E Microwave Plasma Etching System Mapping Sensor Robot Used Working
      HC Controls TS18 2SL AC Control Module QSI Group Semitool TP177B DP-6 MSTN As-Is
      Nikon 4S015-065 NSR System Control PCB NK-C302 Used Working
      JEOL SM-45150 CSI UHR Microscope Camera Polaroid 545i Film Holder JEM-2010F Used
       TI MC-781P-0177 VRA Search Camera 254189-00001 NSR-S205C Used Working
      Nikon 4S005-274 Reflectance Sensor RFLCT-XB NSR-S205C Exposure System Used
      Nikon 4S018-778-4 Circuit Board PCB Assembly NK8601A RBT_I/F 4S015-227 Used
      Harmonic Drive RH-8D-3006-E100D0 3rd Group Zoom Servo Acutuator NSR-S205C Used
      Nikon 4S005-399 Reflectance Sensor RFLCT-A4B1 NSR-S205C Used Working
      Nikon 4S018-929 COM-CTRL PCB Board MTR-CTRL NK8601A NSR-S205C Used Working
      Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S204B Used Working
      Yaskawa RH-8D-6006-E100D0 DC Servo Actuator NSR-S205C 3rd Group Zoom Used
      AMAT Applied Materials 9090-00442 Decel PSU Resistor Chassis 9010-01409ITL Used
      Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S307E DUV Used Working
      Harmonic Drive RH-8D-3006-E100D0 DC Servo Motor 0068501680 Nikon NSR-S205C Used
      Harmonic Drive RH-8D-3006-E100D0 Servo Acuator Nikon NSR-S205C Fly's Eye Used
      MRC Materials Research RMX Magnet AlCu.2% TARGE GUN NCI-13D3 Eclipse Used
      MRC Materials Research A114929 Plenum Chuck Assembly Eclipse Star Used Working
      RFX 1250 AE Advanced Energy 5012-000-B RF Generator 13.56MHz Used Tested Working
      MRC Materials Research RMX Magnet Assembly AlCu.2% TARGE GUN Eclipse Used
      MRC Materials Research RMX Magnet Assembly V TARGE GUN Eclipse Used
      MRC Materials Research Plenum Chuck Head S-A00290 Nupro SS-BNV51-C Eclipse Used
      MRC Materials Research A126387 RMX Magnet 51K40GN-AW TARGE GUN Eclipse Star Used
      RFX 1250 AE Advanced Energy 5012-000-J RF Generator 13.56MHz Used Tested Working
      MRC Materials Research RMX Magnet Assembly Ti TARGE GUN Eclipse Used
      MRC Materials Research RMX Magnet 51K40GN-AW TARGE GUN Eclipse Star Used Working
      MRC Materials Research RMX Magnet Assembly TiW TARGE GUN Eclipse Used
      MRC Materials Research RMX Magnet AlCu.5% TARGE GUN NCI-13D3 Eclipse Used
      MRC Materials Research Plenum Wafer Chuck Head Mosier S-A00290 Eclipse Star Used
      GVSP30 Edwards A71004907XS Dry Scroll Vacuum Pump Copper GVSP 30 Tested As-Is
      MRC Materials Research A114575 Index Chuck Assembly Eclipse Star Used Working
      General Scanning 2860257-503 View Engineering 8100 3D LED Scanner Used Working
      MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN Rev. M1 Used
      MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN D101-131 Used
      MRC Materials Research A110700 RMX Magnet Assembly TARGE GUN Eclipse Star Used
      MRC Materials Research A0789A Plenum Chuck Assembly Eclipse Star Used Working
      Nikon 200mm Wafer Prealigner Assembly 2S013-076 OPTISTATION 3 Used Working
      Genmark Automation 400800032 Classic Transfer Robot Used Tested Working
      Nikon 4S018-675 Circuit Board PCB D-IO-1(2) & NSR Series Used Working
      Nikon 4S018-675 Circuit Board PCB D-IO-1(3) CS017-011 NSR Series Used Working
      Genmark 95033E0021 GNK Robot Indexer and Chamber ASM Epsilon 3000 Untested As-Is
      Nikon 4S018-675-D-IO-1(3) Processor Board PCB D-IO-1 J1PC103B Used Working
      Nikon 4S018-675 Circuit Board PCB D-IO-1 CS017-011 NSR Series Used Working
      Nikon 4S018-675 Circuit Board PCB D-IO-1(4) NSR Series Used Working
      Nikon 4B046-189 LC Bellows Unit KXA57176 NSR-S204B Step-and-Repeat Used Working
      Nikon 4S013-355 Relay Control Card PCB IFIOPIF NSR System Used Working
      Nikon 4S018-860 Relay Control Card PCB LMDRV5B NSR-S204B Used Working
      Nikon 4S018-750 Relay Control Card PCB SPIOX4 NSR-S307E DUV 300mm Used Working
      Nikon 4S018-675-D-IO-1(1) Processor Board PCB D-IO-1 J1PC103B Used Working
      Nikon 4S018-675 Circuit Board PCB D-IO-1 NSR Series Used Working
      Advantech IPC-610BP-250 Vision PC 610 LKT Automation TMT 1214 Used Working
      MRC Materials Research Wafer Chuck Head Cylinder Norgren S-A00936 Eclipse Used
      AA40W Ebara AA40WNv1-E Dry Vacuum Pump Used Tested Not Working
      Advantech IPC-610BP-250 Handler PC 610 LKT Automation TMT 1214 Used Working
      VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve 229351 Used Working
      Bio-Rad Y7802100 Microscope Objective Module Y7800000 140/0.95 Quaestor Q5 Used
      Carl Zeiss 45 19 38 Electronic Microscope Camera Head 451938 Used Working
      KLA-Tencor 515051 Circuit Board PCB 381845 AIT Used Working
      KLA-Tencor 285293 Circuit Board PCB 285307 KLA AIT Used Working
      Xynetics-Electroglas RMHM4 Controller 247213-003 Horizon 4085X Used Working
      AMAT Applied Materials 3700-99008 Motor Assembly XR80 Implanter Used Working
      Mercury 10013 AE Advanced Energy 27-256558-00 RF Match 3150273-004 F/R B Used
      National Instruments 196848A-01 PXI Controller PXI-1031 OEM 1923725001 Used
      AE Advanced Energy 3153137-932 LITMAS RPS AMAT 0190-39814 Copper Tested As-Is
      VAT 65048-PH25-AFS1 Throttling Pendulum Vacuum Gate Valve 233438 Used Working
      AE Advanced Energy 3153137-932 LITMAS RPS Remote Plasma Source No Power As-Is
      Spendrup 140-10 Exhaust Fan with Motortronics CSD-410-N ASM Epsilon 3000 Used
      Fisher Hamilton X54L588PO Safeaire Fume Hood 54L Used Tested Working
      ASTeX FI20638 3.5 KW Assembly Magnetron Head D13449 AG9131 A Used Working
      Novellus Systems 16-383780-00 C3 Showerhead Revision D Used Working
      Novellus Systems 16-383780-00 C3 Showerhead Revision D Small Dent Used Working
      Nikon Photoelectric Sensor Module Omron Z4LC-S28 NSR S307E Used Working
      Bio-Rad Y7802100 Microscope Objective Assembly Y8000080 Quaestor Q7 Used Working
      Lam Research 852-014681-005-F RF Generator Cart OEM-650A Used Tested Working
      ASML 4022.470.7782 Control Card Module Used Working
      Yaskawa DDMQF-SR22311 System Robot Controller PAC/ELC2 SRC-II 006 Used Working
      Brooks Automation 8600-65BAJ 200mm Wafer Indexer Used Working
      DNS Dainippon Screen 150mm Wafer Developer Stage SC-W60A-AV Photoresist Used
      Osaka Vacuum TG1300MBWC Compound Turbomolecular Pump Turbo Used Tested Working
      JEOL EM-Z6189T Power Supply PCB Module JEM-2010F TEM MP003922-00 Used Working
      Nikon 4S018-144 Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
      FEI Company 4035 272 38051 40KV Cable Assembly Column Sidewinder CLM-3D Used
      FEI Company 100-019970 FIB Electronics Module Micrion 150-002370 CLM-3D Used
      Nikon 4S014-140 Processor Board PCB OF3CNT4 NSR-S204B Step-and-Repeat Used
      Nikon 4S007-776-H Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
      FEI Company 565 002 793 Wafer Stage Assembly CLM-3D 200mm CLM S-9SRH-0176 Used
      Nikon 4S018-144-A Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
      Nikon 4S007-776-F Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
      FEI Company 4035 272 12081 30KV Lens Cable Assembly 8' Sidewinder CLM-3D Used
      NEAT 330UP 300mm Wafer Stage and Controller 553174 KLA-Tencor 5107 Used Working
      KLA-Tencor 0052196-008 Rev AB MMD Analog Circuit Board AIT UV Used Working
      KLA-Tencor 5107 System Computer PC 150mm Overlay Inspection System Used Working
      KLA-Tencor 5107 Microscope Assembly 150mm Olympus BH3-5NRE-M BH2-HLSH Used
      Nikon OPTISTATION 3 200mm Wafer Inspection Stage Assembly 2S700-587 Used Working
      AE Advanced Energy 27-256558-00 RF Match Mercury 10013 F/R A 3150273-004 Used
      ESDP 30 Edwards ESDP 30 A Dry Scroll Pump ESDP30A Used Tested Not Working As-Is
      Radisys 63-0050-02 Processor Module 100MHz VMEBUS EPC-5A EXM-13A Used Working
      Kyosan Electric HPK10ZI-TE1 DC Power Supply Used Working
      TEL Tokyo Electron 5087-403670-12 Interface Block Robotic Track Set Lithius Used
      Novellus 02-324753-00 C3 Vector Spindle Assembly Rev. 2 Used Working
      RadiSys EPC-5 VIX CPU Module PCB Card EXP-BP4 Used Working
      Nikon Photoelectric Sensor Module Omron Z4LC-S28 NSR S306C Used Working
      AceCo S33-2180-11X ASM Eagle Susceptor Pedestal Heater Assembly Used Working
      Nikon KAB11000M-0063 Wafer Handler KAB11000/360-3 OPTISTATION 7 Used Working
      Millipore W2501PH02 Photoresist Pump SVG 90S Used Working
      Nikon 2B001-581-3 Linear Module KAB11000K-0047 OPTISTATION 7 Used Working
      OEM-10B ENI OEM-10B-8 RF Generator 1250W Rev. A Used Working
      Edwards PT35-Y0-B18 STP Turbomolecular Pump Cable 16 Meter P035Y-B231 Used
      RFPP RF Power Products 7610807021 RF Automatic Matching Network AM-20 2000W Used
      ASM 04-404548-01 SUSCEPTOR 300MM CAPPED
      Faulhaber 1841E012S Minimotor SA Nikon NSR-S204B Used Working
      IMTEC ACCULINE 10-000-0129 Accubath A1502-18
      Alcatel MDP 5011 Turbomolecular Drag Pump Ceramic Used
      ASM 1080-573-01 TUNNEL-XP4 PULSAR REDUCED
      Genmark Automation GNK Robot Indexer and Chamber 300mm ASM Epsilon 3200 As-Is
      RFPP RF Power Products 7624923010 RF Automatic Matching Network AM-20 2000W Used
      HP Hewlett-Packard D2572B System Control PC with Monitor Kensington CSMT-4 Used
      THK LM Guide Actuator KR 56” Sigmameltec RTS-500 Used Working
      Nitto Denko MA3000II Wafer Slider used working
      KLA-Tencor 720-07360-00 Rotary Transfer Arm Assembly 733-ME3617 Used Working
      Omron MY2N-D2 Relay Lot of 147 LY2N-D2 MY4N-D2 G2R-2-SND Used Working
      Schumacher ChemGuard Bulk Chemical Delivery 10716 Used Working
      TEL Tokyo Electron Photoresist Drawer AMD01-8BUR04-TC Lithius F-DAVP070-3W Used
      MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN Used Working
      MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN A119182 RB Used
      VAT 0210X-CA24-BJC1 Monovat Rectangular Dual Slit AMAT 3870-04810 Refurbished
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K Used Working
      AMAT Applied Materials 0021-53986 Lower Shield New
      Digital Dynamics 02-134495 SIOC Anneal PCB Controller Novellus 01-133875-00 Used
      MRC Materials Research A121214 High Voltage Source Rev. D Eclipse Star Used
      SVG Silicon Valley Group 879-8210-001D A3101 Signal Conditioner PCB Board Used
      Balzers MIU 101 Microwave Ignition Unit Copper Exposed Used Working
      Texas Instruments 2545190-0001 VRA Search Camera MC-781P-0178 Nikon NSR Used
      Lam Research 853-331021-002 EDS WDO Q/C TCP9400DFM New Surplus
      Yamatake DMC50 Multi-Loop Controllers DMC50CS lot of 2 DMC50M Used Working
      Sony 1-675-992-11 Laserscale Processor PCB Card DPR-LS21 Y-Axis Nikon NSR Used
      Seren 9400410004 Automatic RF Matching Network ATS10M 1000W 13.56MHz Used
      MeiVac 2460 Turbo and Cryo System Control Computer 1.0Ghz Alcatel 2460 Used
      AMAT Applied Materials 0010-39736 Slit Liner Door Clamp Refurbished
      Harmonic Drive Systems RH-8B-6006-E020D0 Servo Actuator Nikon NSR-S205C Used
      Glassman LV 300-3.5 DC Power Supply 0-300 Volts 0-3.5 Amps Used Working
      ASM 16E04539B01 END EFFECTOR-XP New Surplus
      Sony 1-675-992-11 Laserscale Processor PCB Card DPR-LS21 Y-Axis NSR-S204B Used
      Nikon 4S015-119 Processor Board PCB NK386SX4 4S015-152 NSR-S204B Used Working
      MeiVac 2460/2480 Turbo and Cryo System Control Computer 733MHz Alcatel 2460 Used
      AMAT Applied Materials 0200-06355 Open Pocket Ceramic Blade Copper Exposed Used
      AMAT Applied Materials 0020-39137 Ceramic Dome DPS Poly Refurbished
      Hine Design Wafer Transfer Robot Assembly Nordiko Technical Services 9550 Used
      Sony 1-675-992-11 Laserscale Processor PCB Card DPR-LS21 Z-Axis NSR-S204B Used
      Yokogawa 370186-B-0/F Data Acquisition Multiple Pen Recorder LR-8100E Used
      Lam Research 839-443215-502 Electrode Silicon  L Res. Small Hole 8 New
      Karl Suss 200.0644.6 CHUCK 6" SUSS Used Working
      AE Advanced Energy R27-368450-00 RF Navigator 3155162-037 C Used Working
      MeiVac 2460 Turbo and Cryo System Control Computer 3.0GHz Alcatel 2460 Used
      Sony 1-675-992-13 Laserscale Processor Card PCB DPR-LS21 EP-GW NSR-S307E Used
      Tencor Instruments 394365 Wafer APS AIT PCB Card KLA-Tencor AIT I Used
      Yokogawa 370186-B-0/RS232C Data Acquisition Multiple Pen Recorder LR-8100E Used 
      Yaskawa USAHEM-02-TE62 DEV/COT Spin Motor TEL 2985-490048-W8 ACT12 300mm Used
      DYNATRONIX 990-0229-410 Pro Series Power Supply, Model PMC-104/1-5DC Used
      Sony 1-675-992-11 Laserscale Processor PCB Card DPR-LS21 X-Axis NSR-S204B Used
      Nikon 4S007-776-D Interface Board PCB ALG-SIG NSR-S204B Step-and-Repeat Used
      Sony 1-675-992-11 Laserscale Processor PCB Card DPR-LS21 EP-GW NSR-S204B Used
      VAT 14048-PE44-1017 HV High Vacuum Gate Valve Alcatel 2460 Used Working
      Nikon 4S019-136 Processor Board PCB RMDRVX4B NSR-S307E DUV 300mm Used Working
      Frontier FSM 8800 Intelligent Film Stress Measurement System Untested As-Is
      ENI OEM-1250 RF Generator 1250W 13.56MHz Refurbished
      Bio-Rad Y7604000 Wafer Micromeasurements Sensor Module Quaestor Q7 Used
      Amray 91118-1 Ion Pump Assembly Used Untested As-Is
      Lexel Laser 00-143-502 V-06 Controller 85 S Used Working
      Hitachi VME Microcomputer MU-712E Used Working
      AMAT Applied Materials 0040-52495 300mm Bottom Chamber Adapter New
      Brooks Automation 06-80010598-001 Techware 5 Controller 920-058 Rev. C Used
      TMU 262 X Pfeiffer PM P03 115 Turbomolecular Pump w/TC100 70955 Tested Working
      DS Techno S3L20760 TCP Quartz Window New Surplus
      Brooks Automation 06-80010598-001 Techware 5 Controller 15-XAHFH Rev. C Used
      Brooks Automation 06-80010598-001 Techware 5 Controller 5136-PFB-VME Used
      Brooks Automation 06-80010598-001 Techware 5 Controller 920-057 Used Working
      Brooks Automation 06-80010598-001 Techware 5 Controller Rev. C Used Working
      TMU 262 X Pfeiffer PM P03 115 Turbomolecular Pump w/TC100 36967 Tested Working
      DS Techno S3L30296 Smooth Quartz Disc New Surplus
      Brooks Automation 06-80010598-001 Techware 5 Controller 15-XAHFH Rev. D Used
      Brooks Automation 06-80010598-001 Techware 5 Controller Rev. D 920-057 Used
      SCI BOC 5386249-001 Gas Cabinet Control Box SpecraSafe As-Is
      AMAT Applied Materials 0020-39137 B Ceramic Dome DPS Poly Refurbished
      Brooks Automation 06-80010598-001 Techware 5 Controller 920-058 Used Working
      Yaskawa ULVI-30-NQ11 Linear Lift Elevator 4K196-818-1 Nikon NSR-S307E DUV Used
      Nikon WD Wafer Stage Robot NSR-S306C Step-and-Repeat Scanning Untested As-Is
      HiPace 300 Pfeiffer PM P03 989 Turbomolecular Pump Turbo TC 110 Tested Working
      Nikon KAB11000/AL101-0 Standard Microscope OPTISTATION 7 KAB11005/302/38-0 As-Is
      HiPace 300 Pfeiffer PM P03 989 Turbomolecular Pump TC 110 Used Tested Working
      Hitachi HJ-7500-60EED Career Station Block Computer HF-W75F VGA TEL Lithius Used
      A to Z Electronics 50312403000 HV Module Assy CDM3-i AMAT SemVision cX Used
      Hitachi HJ-7500-60EED Career Station Block Computer HF-W75F DVI TEL Lithius Used
      TEL Tokyo Electron CPC-T0033A-12 IO CSB Board HA-012 Assembly Lithius Used
      Hitachi Wafer Prealigner Vacuum Chuck Assembly with Sensor M-511E Used Working
      NSK MC5552-801-001 Robot Rail used working
      TEL Tokyo Electron 10023541 Quartz Weighting Tank 32L New Surplus
      TEL Tokyo Electron A112915 Latch Actuator Plate Assembly 150mm New Surplus
      Nikon KAB11000/1360-0 200mm Wafer Chuck Assembly OPTISTATION 7 with Track Used
      Yaskawa V0SH 200mm Prealigner Chamber TEL Tokyo Electron Unity II As-Is
      Lexel 00-145-061 Laser 85-S Used Working
      NSK EE0408C59-25 Servo Drive Used Working
      Hitachi VME Micro Computer Assembly 7200 Etcher Used Working
      SMC INR-244-233B Interface Plate Tokyo Electron ACT12 Used Working
      MRC Materials Research 026146 RMX Magnet Assembly TARGE GUN Eclipse Star Used
      Nikon 4S013-354 Cassette Elevator Z-X4 NSR-S205C Used Working
      Yaskawa USAHEM-02-TE53 DEV/COT Spin Motor TEL 2985-519524-W1 ACT12 200mm Used
      Nikon 4S018-752 Relay Driver Card PCB RMDRVX4 NSR-S205C System Used Working
      Nikon 4S018-714-1 Processor Control Card PCB STIFMEMX4A NSR-S205C System Used
      HiPace 300 Plus Pfeiffer PM P03 989 Turbomolecular Pump TC 110 Turbo Tested
      KLA Instruments 200-000013-00 Mag Changer KLA-Tencor 2138 715-660136-00 Used
      Aerotech ES13504-13 X-Y Wafer Inspection Stage Rudolph Used Working
      HiPace 80 Pfeiffer PM P03 940 Turbomolecular Pump w/TC 110 Turbo Tested Working
      Millipore ENC0MPH02 Encompass HV Fluid Dispense Pump Photoresist Used Working
      TMH 071 P Pfeiffer PM P02 980 C Turbomolecular Pump w/TC100 Used Tested Working
      TMU 262 X Pfeiffer PM P03 115 Turbomolecular Pump w/ TC100 Used Tested Working
      Harmonic Drive Systems RH-8C-3006-E100D0 DC Servo Actuator NSR-S205C Used
      AMAT Applied Materials 4347 A 5000 CVD Chamber 200mm Precision 5000 P5000 Used
      TEL Tokyo Electron E244-000053-11 CPU VME PCB Card E2B023/ECU T-3044SS Used
      AMAT Applied Materials 0010-33724 High Efficiency RF Bias Match Used Working
      AE Advanced Energy 27-368450-00 B RF Navigator 10013 3155162-037 Novellus Used
      AMAT Applied Materials 0010-09263 Precision 5000 Pneumatic Panel P5000 Used
      AMAT Applied Materials 5834R A 5000/5200 CVD Chamber Precision 5000 P5000 Used
      AMAT Applied Materials 4347 B 5000 CVD Chamber 200mm Precision 5000 P5000 Used
      Advantest BPS-034449X02 Liquid Cooled Processor PCB Card BPK-034772 Used Working
      Nikon 4S064-419-4 Wafer Loader User Interface NSR-S307E Used Working
      KLA Instruments 710-603180-003 Digital Deflection Generator PCB Card Used
      KLA Instruments 710-652840-20 KLA RIF PCB Card 073-652839-00 2132 Used Working
      TEL Tokyo Electron 851 WEE Wafer Stage Assembly Clean Track ACT12 Used Working
      KLA-Tencor 710-612545-004 Image Data Storage System PCB Card Used Working
      KLA Instruments 710-655651-20 KLA Cornerturn 3 PCB Card 073-655650-00 2132 Used
      GE General Electric THC2020TTHZR Circuit Breaker MicroVersaTrip TT20LSHGZ2 Used
      Air Products 809-470467812A Gasguard VMB Process Header Assembly PCR/HDR New
      AE Advanced Energy 27-256558-00 RF Match Mercury 10013 3150273-004 Copper Used
      KLA Instruments 710-650044-20 KLA DD Board PCB Card Rev. D1 KLA-Tencor 2138 Used
      KLA Instruments 710-659411-001 PCB Card 700-659411-001 2132 Used Working
      Nikon 4S064-820-1 Wafer Loader User Interface NSR-S307E Used Working
      AE Advanced Energy 27-256558-00 RF Match Mercury 10013 F/R B 3150273-004 Used
      KLA Instruments 710-604769-002 KLA Cornerturn 3 PCB Card 073-655650-00 Used
      Dressler VM 1000 A RF Match Network With Ignitor Used Working
      Orion Machinery ETC902-NSCP-L2 Heat Exchanger PEL THERMO New
      ASML 4022.639.93004 CT WVACEIM Unit NXT New Surplus
      AMAT Applied Materials 0010-22567 Source Chamber Top CPI-VMO Endura As-Is
      TEL Tokyo Electron 2987-455715-W1 CRA Robotics Arm ACT12 200mm Used Working
      AMAT Applied Materials 0010-25068 Source Chamber Top CPI-VMO AMAT Endura 300mm
      Novellus 03-10641-00 Loadlock Robot Rev. K Concept II Altus Used Working
      Yaskawa DDMQF-SR2232IR System Robot Controller RC/ELC1 SRC-II 005 Used Working
      Aridyne G592-A Inverter Duty Motor Series 2000 Used Working
      Novellus 03-10641-01 Loadlock Robot Rev. L Concept II Altus Used Working
      Brooks Automation 106968 Smart Aligner Edge Grip 24VDC 2A Used Working
      MicroVision MVTMC70 Controller Used Working
      Harmonic Drive Systems RH-8C-6006-E100DO Servo Actuator Hi-T Drive Used Working
      Harmonic Drive Systems RH-8C-6006-E100DO 2nd Group Zoom Servo Pull Motor Used
      Riken Keiki 570-06R H2 Gas Monitor ASM 02-331508-06 New
      AceCo S33-2240 300mm Susceptor Pedestal Heater Assembly Copper Cu Used Working
      Harmonic Drive Systems RH-8D-3006-E100DO DC Servo Actuator NSR-S204B Used
      Harmonic Drive Systems RH-8D-6006-E100DO 2nd Group Zoom DC Servo Pull Motor Used
      IDE 7401030 001 FSS Side/Rear Access Version KLA-Tencor 11020616081000 Used
      Harmonic Drive Systems RH-8B-6006-E020DO Servo Actuator NSR-S204B Used Working
      KLA-Tencor AIT I Surfscan Microscope Assembly Olympus U-D5BDREM U-RLA Used
      Harmonic Drive Systems RH-8C-3006-E100DO Servo Actuator Hi-T Drive Used Working
      Edwards CVD Vacuum System Pump Rack iNIM TIM TMS Y14204000 Copper Exposed Used
      Nikon KAB11005-0149 200mm Wafer Inspection Stage Assembly OPTISTATION 7 Used
      Conoptics M25DS Laser Modulator Power Amplifier Model 25 DS Used Working
      Hitachi Wafer Stage Assembly I-900SRT Defect Inspection Tool Used Working
      OEM-12A ENI OEM-12A-21041-51 RF Power Generator Rev. C Used Tested Working
      Nikon 4K802-253 Robot Elevator NSR-S205C Used Untested As-Is
      Pearl Kogyo RP-150-2MB RF Power Generator RP-150 Used Working
      Nikon 4S007-609 Transport Assembly 4S003-028 NSR-S204B Step and Scan System Used
      Hitachi Wafer Alignment Assembly with LD-C60 M-712E Shallow Trench Etcher Used
      OEM-12A ENI OEM-12A-21041-51 RF Power Generator Rev. G Used Tested Working
      Kondoh Kohsya LM-101 Chemical Concentration Monitor DM-007 New
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G Copper Exposed Used
      Novellus 02-252432 C3 Vector Spindle Assembly Rev. G Used Working
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F Used Working
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. E Used
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F Copper Exposed Used
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. D Copper Exposed Used
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G Used Working
      HiTek 0090-91806 Power Supply AMAT Quantum X PRA Rack Used Working
      Nikko Materials 500084725 Chamber Plate Assembly New
      Nihon Koshusha HFS-450-020-5 450MHz 2kW Generator Hitachi MU-712E Used Working
      MRC Materials Research A120024 Table Top Sputtering System Remote Eclipse Used
      Progressive Technologies Inc. Sentry S1510 Controller EMB51-15-5 Used Working
      Yaskawa XU-RCM2500T-3 Robot Track OST7-01-031-3 Nikon OPTISTATION 7 Used Working
      Hitachi 200mm Wafer Prealigner M-712E Shallow Trench Etcher Used Working
      MRC Materials Research A119124 RMX Magnet Assembly A119182 RD Eclipse Star Used
      Hitachi Wafer Prealigner S-9300 CD Scanning Electron Microscope System Used
      Hitachi I-900SRT Dual End Effector Wafer Transfer Robot Used Working
      VAT 65048-JH52-AQK2 Throttling Pendulum Vacuum Gate Valve 385789 Copper Cu Used
      VAT 65048-JH52-AQK2 Throttling Pendulum Vacuum Gate Valve 385789 Used Working
      ETO ABX-A434 RF Generator Controller ABX-X355 PCB Board AMAT 0190-36677 Used
      Schumacher 1463-0322G/G/F/C ATCS-15 HT Level Base Bubbler 1462-1515G ABU Used
      NSK EE0408C59-25 Servo Controller Module Used Working
      Matrox VIP-1024A Frame Grabber PCB Card KLA-Tencor 750-400169-00 5107 Used
      Varian 104318001 Gate Valve Assembly 250mm Ion Implant Systems VAT Used Working
      KLA-Tencor Operator User Interface Surfscan SP1 TBI Untested As-Is
      MRC Materials Research RMX Magnet Assembly AICu.5% TARGE GUN Eclipse Used
      MRC Materials Research Plenum Wafer Chuck Head S-A00290 Eclipse Star Used
      MRC Materials Research A126387 RMX Magnet Assembly TARGE GUN Eclipse Star Used 
      VAT 14048-PE44-AAU1 High Vacuum Gate Valve MeiVac 2460 Used Working
      Sankan Electric MLT-DCBOX5 Power Supply Reseller Lot of 5 Untested As-Is
      Novellus 01-133875-00 SIOC Anneal Controller Digital Dynamics 02-134495-00 Used
      EAD Motors LA23GCKA-2P KLA-Tencor SP1 Linear Actuator Assembly 740-772820-000
      KLA-Tencor 003928-000 SP1 Interface Assembly Used Working
      Novellus 02-266279-00 Rev. C RF Matching Unit New
      Digital Dynamics SIOC Controller 27-053659-00 Rev. B Used Working
      Lam Research 852-014681-583 RF Generator Cart 851-014680-583 Untested As-Is
      Signatone S460-USB Probe Station Stage XY-Base Assembly 0460-6069 Used Working
      TEL Tokyo Electron 2987-455715-W1 CRA Robotics Arm ACT12 200mm No Covers Used
      TMU 262 X Pfeiffer Vacuum PM P03 115 Turbomolecular Pump Turbo TC100 Refurbished
      KLA Instruments 710-652840-20 KLA RIF Board PCB Card 2132 Used Working
      HiPace 80 Pfeiffer Vacuum PM P03 940 A Turbo Pump w/TC 110 Used Tested Working
      Edwards B65301000 Gate Valve Manual Operation GVI 100M ISO100 ISO-F Used Working
      KLA Instruments 710-659274-20 Rev. E0 KLA PLA-8 Assembly 2132 Used Working
      KLA-Tencor 0071143-003 Polarizer Assembly KLA AIT UV+ Used Working
      KLA-Tencor 700-060463-001 SAF Preamp Assembly Starlight SL 300 Ursa(IS) Used
      VAT 1235154 Throttling Pendulum Vacuum Gate Valve TEL 3D86-000237-V4 Used
      Hitachi Wafer Cassette Loading Station M-712E Used Working
      Nihon Koshuha AMC-39D-4502A-00 450MHz RF Automatching Tuner Used Working
      KLA-Tencor 710-061475-001 IS 300 Backplane PCB Starlight SL 300 Ursa(IS) Used
      VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve 98801-R1 Used Working
      Electroglas Optical Wafer Stage Assembly 4085X Horizon 200mm Used Working
      Kensington 15-3600-0300-01 300mm Wafer Prealigner Assembly AMAT 0190-16360 Used
      VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve 1235154 Used Working
      Nihon Koshuha MBA-010-H-2 1kW RF Matching Box & Filter Unit Used Working
      Lexel 00-143-502 Y-11 Laser 85-S Used Working
      Macom M7047-2 Processor Board PCB Card Used Working
      KLA Instruments 710-650044-20 KLA DD Board PCB Card Rev. C4 KLA-Tencor 2138 Used
      AE Advanced Energy 3150273-004 RF Match Mercury 10013 Used Working
      Presto Engineering 1207 LGA CPI Soft Dock Solution
      GOLD TECH INDUSTRIES 16-320563D01 Reflector, D, Outside, Upper New
      SECO / GRAMATECH SSGF GVS2100 SSGF
      ASM / Prescision Inc 16-404633-01 FLANGE-MESC INTFC-300 MM (XP4-EMERALD)
      M8-KMC060804-01 Upper Chamber Body
      ASM 02-187891-01 Assembly H2 Chassis Modified E3000 New 
      ASM / FHT Assy-Interlock SOLV 1089-836-01
      Agilent 10898A Dual Laser Axis VMEbus PCB Card 10898-60002 Used Working
      iQDP40 Edwards A532-40-905 Vacuum Pump with QMB250 Blower Used Tested Working
      AMAT Applied Materials Wafer Stage Assembly Orbot Instruments WF 736 DUO Used
      Brooks Automation 02-169208-00 ATM Wafer Handling Robot Untested As-Is
      Agilent Z4381-60001 Phase Detector Board Z4381A PCB Card Nikon NSR-S307E Used
      Nikon Z-X4P/12-A0702C Robot Interface Unit NSR-S306C Used Working
      Mykrolis CTPR01P01K Fluorogard CL PRS 10" 40μm Filter
      Entegris CTFC01TPEK Cartridge Filter 10μm
      HEATEFLEX LH1-10-C-A01-P504 Inline Heater
      Mykrolis IHAV01P01 0.1μm Filter Intercept HPX 0.1 (DHF 0.03)
      Entegris TRCXATE01K Torrento ATE 10nm Chemlock Liquid Filter
      HiTek Power Series 2500 High Voltage Power Supply 2.5kV Refurbished
      Nikon 4S007-799 Process Control Board PCB IU-XB NSR-S202A Used Working
      AMAT Applied Materials 0242-88366 Kit - Slit Liner Door, Clamp Lid New
      JAE KT000983 6-Axis Vibration Measurement Unit JNP-012 Nikon 4S587-588 NSR Used
      Nikon 4S015-214 Processor Board PCB NK-C446-OPT NSR-S307E DUV 300mm Used Working
      Lam Research 716-330122-002 Ceramic F/G Top Plate New
      Agilent Z4381-68001-23-0433-00003 Phase Detector PCB Z4381A VME NSR-S307E Used
      Agilent Z4381-68001-23-0421-00015 Phase Detector PCB Card Z4381A NSR-S205C Used
      KLA-Tencor 0052196-004 PCB Circuit Board Rev. AA Used Working
      Nikon 4S014-142-3 Control Board PCB RL-CTRL2 NSR-S205C Used Working
      Nikon 4S018-727 Communications Card PCB IM-COM NSR-S205C System Used Working
      Nikon 4S017-646-2D Relay Control Card PCB OPDCTRL NSR-S202A System Used Working
      Nikon 4S014-143 Relay Control Card PCB LC-CTL4 NSR-S205C System Used Working
      IKO Nippon Thompson TSL220-600/A10E583 Robot Track Hitachi S-9300 Used Working 
      Edwards 12E3 Vacuum Coating Unit Chamber Speedivac F903 Used Untested As-Is
      Mykrolis QCDZATXTH Filter Quickchange T-Pillar DISPO Filter 0.05μm Prewet
      CTI-Cryogenics 8107040 8F Cryopump CRYO-TORR High Vacuum Pump New
      Therma Wave 30-135778 Grendel Master Node Opti-Probe Used Working
      WJ Watkins-Johnson 914275-1 Unloader Return Shuttle WJ999 / WJ1000
      MRC Materials Research A122887 Wafer Chuck RF Cylinder Assembly Eclipse Used
      MicroVision MVT 2080 Workstation Wafer Inspection Station Table Tschurr Used
      GVSP30 Edwards A710-04-907 Dry Scroll Vacuum Pump Copper GVSP 30 Tested As-Is
      Lam 852-011061-203-5-231 Lower Reaction Chamber 853-011661-503-B-231 4420 Used
      AMAT Applied Materials 200mm Wafer Stage Anorad RMW THETA-Z Orbot WF 720 Used
      Nyquist NY3522/10 Motion Controller FEI 9419 035 22201 CLM-3D Used Working
      Nyquist NYCe3000 MCU5 Motion Controller FEI 9464 999 16081 CLM-3D Used Working
      ADTEC AX-2000EUII-N RF Generator Novellus 27-286651-00 Tested Not Working As-Is
      AMAT Applied Materials 0010-15413 Universal Lid Lift Assembly New Surplus
      Nikon 4S015-265 Processor Board PCB AGPCi7500 NSR-S307E DUV 300mm Used Working
      Nikon 4S019-289 Processor Control Board PCB AFDRVX4B NSR-S307E DUV 300mm Used
      Nikon 4S013-497 Processor Board PCB DSP-INF NSR-S307E DUV 300mm Used Working
      Nikon 4S015-192 Processor Board PCB NK-C44-60S NSR-S307E DUV 300mm Used Working
      Nikon 4S019-154 Processor Control Board BLDRVX4B NSR-S307E DUV 300mm Used
      Nikon 4S018-935 Processor Board PCB EPDRVX4-MOPT NSR-S307E DUV 300mm Used
      Asyst Technologies 9700-5158-03 300mm Load Port SMIF-300FL Incomplete As-Is
      Asyst 9700-5158-03 300mm Load Port 300FL, S2.1 25WFR Incomplete for Parts As-Is
      ADTEC AX-2000EUII-N RF Generator 27-286651-00 Used Tested RF Trip Fault As-Is
      ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 Tested As-Is
      ADTEC AX-2000EUII-N RF Generator 27-286651-00 Tested RF Output Damaged Fan As-Is
      ADTEC AX-2000EUII-N RF Generator 27-286651-00 Untested Damaged Breaker As-Is
      ADTEC AX-2000EUII-N RF Generator 27-286651-00 Used Tested RF Sensor Error As-Is
      ADTEC AXR-2000III Plasma Generator Novellus 27-360919-00 Exceeds Current As-Is
      ASM 02-140183-01 PCB Interface Panel DU RH OPTN Assembly New Surplus
      Nikon 4S018-755 Relay Driver Card PCB BLDRVX4 NSR-S205C System Used Working
      Disco DFM-M200 Manual 8" Wafer Plastic Film Mounter MH-0069 Used Tested Working
      AMAT Applied Materials 0010-22567 CPI-VCM Chamber Source 3 Missing Parts As-Is
      ADTEC AXR-2000III RF Plasma Generator Novellus 27-360919-00 RF Trip Tested As-Is
      EV-A06-1 Ebara 202508 Dry Vacuum Pump Air Cooled Multi-Stage Used Tested Working
      ACG-10B ENI ACG-10B-02 RF Generator 1000W MRC Eclipse Star Used Tested Working
      Dynatronix 990-0299-09 Pulse Power Supply PMC102/1PR-1-3/1PR-20-60 Used
      USHIO HB-251B/A Lamp Housing & HB-25103BY Power Supply Used Working
      ASM 16-179191-99 Quartz Effector End P8300 DTS New 
      Nikon 4S013-416-RBTMLNK2 Robot Stage 4S013-417-RBTLNK2 NSR Series Used Working
      Fortrend 114-001006-001 200mm Wafer Transfer System E-8225 Used Tested Working
      Fortrend F-8225S 200mm Automatic Wafer Transfer System Used Tested Working
      Fortrend F8225BBE 200mm Automatic Wafer Transfer System Used Tested Working
      Kensington 4000D Servo Positioning Controller ARM WAIST Axis CSMT-4 Used Working
      Advanced Micropolish 122958200 CU Phase 2 AMD Assembly Lam Research New
      Kensington 4000D Servo Positioning Controller Tilt Scan Axis CSMT-4 Used Working
      QM Soft VEO-110EF Servo Drive MITY Servo VEO-110 Used Working
      Lam Research 852-017500-001 CRT Operator Interface Panel Assembly 4420 Used
      Lam Research 852-017500-003-6-C220 Operator Interface Panel Assembly 4420 Used
      Nikon 4S019-086 Driver Control Card PCB ADDRV1X3 NSR-S204B System Used Working
      OEM-650A ENI OEM-6A-11491-52 Soild State Power Generator Used Tested Working
      Nikon 4S018-544 Driver Control Card PCB RSSDRVX3A NSR-S204B System Used Working
      Nikon 4S008-096-1 Video Control Board PCB NIIP-VIDEO-M Used Working
      Nikon 4S018-384-1 Driver Control Card PCB AFDRVX21 NSR-S204B System Used Working
      VersaLogic 05-05186-00 Processor Board PCB Card VL-7709bY Cymer ELS-6400 Used
      Nikon 4S018-380 Processor Control Card PCB STIFMEMX2 NSR-S204B System Used 
      Nikon KAB11000/A301-7 Wafer Chuck Assembly Optistation 7 Cut Wires As-Is
      TW 250 S Oerlikon Leybold 800150V0009 Turbomolecular Vacuum Pump TW-250S Used
      Lam Research 852-017500-002-5-C220 CRT Display Monitor Operator Panel 4420 Used
      CPS-250 Comdel CPS-250/3.39 RF Power Source 250W @ 3.39Mhz Used Tested Working
      JEOL EM-2011F Mechanical Rotary Vacuum Pump Assembly JEM-2010F TEM Used Working
      TURBOVAC TW 250 S Oerlikon Leybold 11352 Turbomolecular Vacuum Pump TW-250S Used
      TW 250 Leybold 86255 Turbomolecular Vacuum Pump TW-250 Turbo Used Working
      Lam Research 852-017500-003-B-C220 CRT Keypanel Chassis 4420 Etcher Used Working
      Lam Research 852-017500-003-4 CRT Display Monitor Operator Panel 4420 Used
      PML Printed Motor GM16T-1174 DC Disc Servo Motor Assembly Nordiko 9550 Used
      Kensington Laboratories WFH4C TT/SR/HS Wafer Handling Robot
      Kensington Laboratories 4000D Servo Positioning Controller A B Tilt/Scan Used
      Specken Drumag ZLS-FV 280/57-D-PH-T-1099980 Stroke Cylinder Oerlikon P1264 New
      Nordiko MAG AMP Rotating Magnet Amplifier Controller 9550 PVD Sputtering Used 
      Lam Research 716-140118-001 Focus Ring 4528 Etcher Refurbished
      iH80 NEW LOOK Edwards A533-50-945 Dry Vacuum Pump Missing Parts Tested As-Is
      Lam Research 716-043116-001 Shroud Ring 4528 Etcher Refurbished
      Kollmorgen 00-01607-043 DC Disc Servo Motor Assembly Nordiko 9550 Used Working
      Nikon 4S019-156 Proccessor Board PCB AVDRVX4B NSR-S307E DUV 300mm Used Working
      Nikon 4S025-282-1A Interface Control Card PCB X8_WLIO NSR-S620D Used Working
      Nikon 4S015-261 Processor Control Board PCB NK-C44-60R NSR-S307E DUV 300mm Used
      Nikon 4S025-563 Interface Control Card PCB AFX8IF NSR-S620D Immersion Used
      Nikon 4S085-431-1 Carrier Module Card PCB 4S025-171 4S019-414-1 Used Working
      Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm Used
      AMAT Applied Materials 9010-02158 xR80 MRS Assembly Quantum X New
      Fortrend 120-1004 Wafer Load Station F-8025 Used Tested Working
      Fortrend 120-1004 Wafer Load Station F-8025 Copper Exposed Tested Working
      Fortrend 120-1004 Wafer Load Station F8025S Used Tested Working
      Varian Semiconductor Equipment 101927004 Chamber Interface E1000 Used Working
      NT 1000/1500 VH Leybold 112087001 Turbopump Controller Varian E1000 Used Working
      Christie 003-110235-01 3 Chip Panel Driver PCB Assembly 015-100071-01 New
      Christie 108-103101-01 DLP Image Blending Module ChristieTWIST v1.0 PCB Kit New
      Kensington Laboratories 4000D-A Servo Positioning Controller Y X Axis Used
      General Laser LMC-2007 PC CO2 Laser Marker Untested As-Is
      Nikon 4S018-172-A Air Control Card PCB AIRCTRL2 NSR-S202A System Used Working
      Nikon 4S018-144 Interface Relay Card PCB C30-I/F NSR-S202A Used Working
      Nikon 4S007-776-B Processor Interface Card PCB ALG-SIG NSR-S202A Used Working
      Nikon 4S018-143 Relay Control Card PCB WL3IO3 NSR-S202A System Used Working
      KLA-Tencor 0107339-002 Gas Purge Box Used Working
      Kokusai Electric 300mm Wafer Transfer Robot DD-1203V Used Working
      Kensington 15-4000-0002-01B Positioning Controller 4000D AMAT 0190-23562 Used
      Mitutoyo M Plan NUV 50 0.42 ∞/0 f=200 Near Ultraviolet Microscope Objective Used
      Asyst Technologies 9700-6209-01 Robot Power Distribution Center New Surplus
      JDS Uniphase 2211-20SLAT Laser System 2211B-20SLAT Used Working
      Lam Research 852-017500-003-4 CRT Operator Interface 853-017505-001-C Used
      Lam Research 852-017500-001-D CRT Operator Interface 853-017505-001-B Used
      KLA-Tencor 073-404207-00 Wafer Transport Assembly Rev. BA 5107 Overlay Used
      NRF Technologies NL05S400KT-02X High Voltage RF Power Supply Used Working
      VAT 10848-UE44-0004 Pneumatic UHV Gate Valve Series 10 Used Working
      Danfoss 178B7654 Frequency Converter VLT 5000 VTL5016PT5C20STR3DLF13A00C0 New
      Danfoss 175Z4293 Frequency Converter VLT 5000 VTL5016PT5C20STR3D0F10A00 New
      Newport Kensington 25-4021-0015-15 Servo Positioning Controller 4000D Used
      Kensington 25-4000-0003-00 Servo Positioning Controller 4000D Used Working
      RF Services Inc. 232570-01 RF Match RFS 5005 5kW Used Working
      MDX 5kW AE Advanced Energy 3152194-022 Magnetron Drive Tested Not Working As-Is
      MDX 5kW AE Advanced Energy 2194-022-H Magnetron Drive 3152194-022 Tested As-Is
      Nikon NSR 4S001-060 Power Supply Board PCB Card PW-NA Used Working
      Nikon KAB11310/201K Dual Board INX/300 Assembly Metro 300mm Used Working
      ASM 02-180573D01 Assembly LLL Top Cover New 
      ASM 02-180574D01 Assembly RLL Top Cover New 
      Nikon 4S018-727 Interface Card PCB IM-COM NSR-S205C Step-and-Repeat Used Working
      Nikon 4S001-061 Power Supply Board PCB DDP-025-A NSR-S307E DUV Used Working
      Nikon 4S018-716 Relay Control Card PCB OPDCTRL3 NSR-S204B Step-and-Repeat Used
      Nikon 4S015-215 Interface Control Card PCB LC ADPT NSR-S307E DUV Used Working
      Nikon 4S015-501-1 Single Board Computer PCB STG51 NSR-S620D Used Working
      Nikon 4S001-060 Power Supply Board PCB Card DDP-024-A NSR-S307E DUV Used Working
      EXT 70H 24V Edwards B722-21-991 Turbomolecular Pump ISO63 Used Working
      MDX-10K AE Advanced Energy 3152194-039 B DC Supply MASTER Tested Not Working
      EXT 70H 24V Edwards B722-26-991 Turbomolecular Pump ISO100 Used Working
      Shimadzu TPB-60D (1) Turbomolecular Pump Controller TMP Power Unit Turbo Used
      Daikin TBR15AMX Heat Exchange Brine Chilling Unit Tested Not Working As-Is
      Shimadzu EI-3403MD Turbomolecular Pump TMP Turbo TEL 3D80-000960-V1 Used Working
      Nikon 4S001-093 Power Supply Card PW-NK NSR-S307E Used Working
      Marteq Process Solutions 1084136-1 Lid Assy for 8201 Dryer ASM 4654546-001 Used
      Nikon 4S001-117 Power Supply Card PW-NO NSR-S307E Used Working
      Harmonic Drive Systems RH-8D-3006-E100D0 DC Servo Actuator Nikon NSR-S307E Used
      MDX 5kW AE Advanced Energy 2194-022-J Magnetron Drive 3152194-022 Tested As-Is
      Motorola 01-W3866B54B Embedded Controller MVME PCB Card 162-262 Used Working
      TMP Shimadzu FTI-2301D (T1)-D3R Turbomolecular Controller Used Tested Working
      SVG Silicon Valley Group 99-45762-15 LES E-Chain 90S Used Working
      Nikon Piezo Electric Sensor & Cable NSR-S204B Main Body Used Working
      Nikon SR315-ALI2AN Cone Lens Unit New
      Nikon 4S001-090 Power Supply PCB Board TDK MSE182P NSR-S204B Used Working
      OEM-6B ENI OEM-6B-01M5 RF Generator Used Working
      Hine Design 94-3374 860 Vacuum Arm Assembly RND QTZ 01102-003 Asyst Refurbished
      Alcatel Comptech CVQ-10-ASA-U-SM VARI-Q Throttling Valve 150-1 Used Working
      KLA-Tencor 740-615774-003 Ramp Deflection PCB Assembly eS20XP E-Beam Used
      KLA-Tencor 740-614614-000 Deflection Power 240 VAC Drawer #1 eS20XP Used Working
      TMC Stacis 2000 Active Piezoelectric Vibrations Control System Used Working
      AceCo S33-2259A 300mm SCCM-CAR/GBT Baffle New Surplus
      Nikon 4S018-876 Manual Wafer Transfer Platform Z-X4/12-A1903C NSR-S205C Used
      Yaskawa V0SH 200mm Prealigner Chamber TEL Tokyo Electron Unity II Used Working
      Nikon 4S015-084 NSR System Control PCB BUSMON3 Used Working
      Therma-Wave Opti-Probe 2600B Optics Platform OP200B KLA-Tencor As-Is
      Nihon Koshuha MBA-010-H-2 1kW RF Matching Box Used Working
      Robitech 859-8067-002 Pneumatic Pressure Control Rack Used Working
      AMAT Applied Materials 0010-08322 Top Local RF Match Rev.002 Used Working
      AMAT Applied Materials 0010-08323 Local RF Match Rev. 007 Used Working
      TEL Tokyo Electron P-8 PCB Card Cage Controller MVME 147-023 Used Working
      AMAT Applied Materials 0240-06458 Polisher Kit Reflexion CMP New
      Hitachi 1-822328 Lower Coil ZPS90 New Surplus
      Yaskawa XU-DL1600W30 Driver Board 4S014-165 Nikon NSR-S204B Used Working
      Nikon 4S019-029 Interface Board PCB PRE1_I/F NSR System Used Working
      Nikon 4S015-094 Processor Control Board PCB NK386SX3 NSR-S204B System Used 
      Nikon 4S015-046H Processor Control Board PCB NK386SX NSR-S204B Used Working
      Nikon 4S015-046C Circuit Board PCB NK386SX NSR-S204B Used Working
      Newport 25-4000-1301-00 Servo Positioning Controller M4000A Used Working
      Nikon XU-DL1600W30 Driver Board PCB 4S014-165 200A08.LO NSR-S204B System Used
      Nikon 4S008-056-A AIS Sensor AISsns-X4 NSR-S205C Exposure System Used
      Oxford Instruments 6498 EDS Energy Dispersive Link Pentafet JEOL JEM-2010F Used
       Nikon XU-DL1600W30 Driver Board PCB 4S014-165 200A07.LO NSR-S204B System Used
      SMC XLD-100D-X862 Pneumatic High Vacuum Angle Valve ISO100 New Surplus
      Sony 1-675-992-13 Laserscale Processor PCB Card DPR-LS21 EP-GW Nikon NSR Used
      Nikon 4S015-197 Circuit Board PCB NK386SX4-NSC NSR-S205C Used Working
      Nikon 4S015-199 Circuit Board PCB NK386SX4 NSR Used Working
      Nikon 4S015-046I Processor Control Board PCB NK386SX NSR-S204B Used Working
      TAZMO Main Controller Module 20583 Hours Semix TR6132U 150mm SOG Used Working
      MDX Pinnacle AE Advanced Energy 3152338-018 Dual Power Supply Untested As-Is
      MRC Materials Research A114570 Stepper Elevator Assembly Eclipse Star Used 
      Nova 310-22000-00 Measurement Unit Novascan Used Working
      AMAT Applied Materials 0020-32860 Precision 5000 Photohelic Power Supply Used
      Cleanpart 500136661 TPU Kronis Head Assembly Gas Abatement Used Working
      AMAT Applied Materials 0010-09297 Precision 5000 CVD 15 Volt Power Supply Used
      CTI-Cryogenics 8112898G005 8F Cryopump On-Board MRC Eclipse Star Used Working
      AMAT Applied Materials 0010-09181 Precision 5000 Platform DC Power Supply Used
      MRC Materials Research A114569 Stepper Elevator Assembly Eclipse Star Used 
      Anorad DR-300 X Y R Axis Controller AMAT Applied Materials SemVision Used 
      Nikon Microscope M Plan 2.5 BD Plan 10 BD Plan Apo 20 40 150 OPTISTATION 3 Used
      Nikon 200mm Wafer Transfer System SST55D3C020 V100E1 OPTISTATION 3 Used Working
      Nova Measuring Instruments 210-48000-01 Controller NovaScan 420 Used Working
      SVG Silicon Valley Group 24-45451-01 Fixture Parts Lot A 24-37963-01 90S Used
      Nova Measuring Instruments 210-48000-00 NovaScan 420 Controller Used Working
      Nikon Light Source Side Front Relay NSR-S204B BMU Beam Matching Unit Used 
      Nikon 4S018-444 Driver Board EPDRV1-X2A PCB Card NSR-S202A Scanner Used Working
      Nikon 4S018-445-B Relay Control Card PCB EPDRV2-X2A NSR-S204B Used Working
      Nikon Fold Mirror NSR-S204 BMU Beam Matching Unit Used Working
      Cymer 06-05204-01B Interface Test Control Board PCB OSMX8 ELS-6400 Used Working
      Cymer 06-05024-06J Analog Relay Control Board PCB OSMX8 ELS-6400 Used Working
      Nikon Halving Glass NSR-S204B BMU Beam Matching Unit Used Working
      Nikon Halving Glass NSR-S204B BMU Beam Matching Unit Used Working
      Nikon Optical Laser Reflector Assembly Hoya-Schott AOFS PR-SO-002 Used Working
      Nikon 4S018-382 Relay Control Card PCB RSMDRVX2 NSR-S204B Used Working
      VAT 65040-PA52-ACB2 Pendulum Control and Isolation Valve Copper Cu Exposed Used
      Cymer 06-05220-06L Digital Relay Control Board PCB OSMX8 ELS-6400 Used Working
      Nikon ND Filter Unit NSR-S204B BMU Beam Matching Unit Used Working
      Nikon KAB11000/360-0 200mm Wafer Chuck KAB11000/A301-7 OPTISTATION 7 Used
      Nikon Beam Retarder 1 NSR-S204B BMU Beam Matching Unit Used Working
      Therma-Wave 14-007135 AF Interconnect AT Stage Assembly Opti-Probe 2600B Used
       VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve 98800 Used Working
      Cymer 06-05020-04H Interface Relay Control Board PCB OSMX8 ELS-6400 Used Working
      Nikon 4S018-444-A Drive Control Card PCB EPDRV1-X2A NSR-S204B System Used
      Nikon Light Source Side Back Relay NSR-S204B BMU Beam Matching Unit Used Working
      Nikon Beam Retarder 2 NSR-S204B BMU Beam Matching Unit Used Working
      MDC Vacuum Products 306005 Manual Gate Valve LGV-4000G NW100 Used Working
      KLA-Tencor 655-774157-000 Imaging Inspection Lens Assembly 2365-UI Used Working
      Nikon 4S018-550 Relay Control Card PCB LMDRVX3 NSR-S204B Used Working
      Nikon 4S018-387 Relay Control Card PCB ADDRV1X2 NSR-S204B System Used Working
      Hine Design Inner Chamber Transfer Robot Assembly Gasonics Aura A-2000LL Used
      AMAT Applied Materials 0090-91927 ITL XR80 Wafer Loader Interface Module Used
      Rudolph Research II-2345 Automatic Ellipsometer AutoEL II Untested As-Is
      Nikon KAB11000/A701-7 Rotation Arm Assembly Optistation 7 Untested As-Is
      Hitachi M-511E User Interface Panel Touch Screen TE6036A7 Used Working
      Nikon 4S018-169 Interface Controller Board ALG-INF PCB Card NSR-S204B Used
      Nikon RRW-07 V4.2 Power Controller NSR Stepper Used Working
      Nikon Z-X3-B2405 Stepper Controller E0R05-9437A Used Working
      Hitachi 1-822327-02 Upper Coil ZPS90 New
      Agilent Z4381-68001 Phase Detector PCB Card Z4381A Nikon NSR-S307E Used Working 
      Nikon 4S007-865A Interface Board PCB SR8-XY2 NSR-S204B Used Working
      Nikon 4S019-155 Interface Control Board PCB WTDRVX4B NSR-S307E DUV 300mm Used
      Agilent Technologies 24208A VME Controller Board PCB Card Nikon NSR-S205C Used
      Nikon 4S018-392 Circuit Board PCB MAC-DRV2 NSR Used Working
      Futaba DB9409-001 Junction Box 2S067-013 HPS-FB6 Nikon 4S061-844 Used Working
      Nikon 4S018-388-A Relay Board PCB ADDRV2X2 NSR-S204B Step-and-Repeat Used
      Agilent Technologies 470-81312 Number Cruncher PCB Card Z4207C Used Working
      Nikon 4S018-057-3 Control Board PCB WL3SEN2 NSR-S204B Step-and-Repeat Used 
      Nikon 4S013-407 Circuit Board PCB SPAIFX4P NSR Used Working
      CPS-1000 Comdel CPS-1000-M RF Power Source No RF Output Tested Not Working As-Is
      TEL Tokyo Electron TKB7001 IO Spin #02 TKB7043 PCB Board Lithius Used Working
      Pyramid 0500-00300W X-Scan Controller 0120-01840 AMAT Quantum X Used Working
      Asyst Technologies 9701-2775-02 Plus Portal Controller Qcept ChemetriQ-3000 Used
      FEI 25297-G Charge Neutralization Controller FEI XL-830 Used Working
      GPI REAH-THI-400-M Temperature Humidity Controller SVG90 used working
      Pfeiffer TCM-520/TCP121 Charge Neutralization Controller FEI XL-830 Used
      AMAT Applied Materials 9090-00785ITL Electrode Assembly Quantum Leap II Used
      AMAT Applied Materials 02-351965-00 Positioning Robot Gasonics Aura 2000-LL Used
      Thermonics T-2427 Temperature Forcing System Used Untested As-Is
      LKT Automation LKT2001 Automated Magazine Unloader System Used Working
      Anelva A12-03638 Motor Control Unit-B Used Working
      Lam Research 12123-1-C-01441057-R3 Drive Arm Assembly Used Working
      Anelva A12-05110 Motor Control Unit-A Used Working
      MECS Corporation AL100 Wafer Prealigner Untested As-Is
      Cybor ADS660-00 Control Module Pneumatic Interface ADS660-12 Used Working
      Olympus U-AFA1M-100H Microscope Active Auto Focus Unit KLA 0044220-000 As-Is
      Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S204B Step-and-Repeat Used
      E'Wave 3152603-015 AE Advanced Energy 3 Channel RF Generator Refurbished
      Nikon TTLFC2 Plate NSR-S204B Step-and-Repeat Scanning System Used Working
      Nikon 4S015-094 Processor Board NK386SX3 PCB Card NSR-S202A Used Working
      Nikon 4S015-197 CPU Board PCB NK386SX4-NSC NSR Used Working
      Nikon 1st Relay Field Assist Lens NSR-S204B Step-and-Repeat System Used Working
      Nikon 4S015-063 PCB Card NK386SX Used Working
      E'Wave 27-282098-00 AE Advanced Energy 3152603-015 RF Generator Refurbished
      JEOL EM-24015BU TEM Electron Microscope Optical Lens Assembly JEM-2010F Used
      Nikon 4S015-257 Processor Control Board PCB NK386SX6 NSR-S307E DUV 300mm Used
      Nikon 4S015-197 Processor Board PCB NK386SX4-NSC NSR-S204B Step-and-Repeat Used
      Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S307E DUV 300mm Used Working
      Osaka Vacuum TG2003MBW-70 Compound Turbomolecular Pump Turbo Tested Working
      KLA-Tencor 0039978-001 Assembly M2/M3 Servo Box AIT UV Used Working
      Matrix Integrated Systems System 10 Style 1104 Control Computer PC Used Working
      Genesis Vacuum Technologies SS452-1018 108-000200 Balzers LLS 801 Used Working
      KLA-Tencor 0081473-001 Remote IO PCB Rev. AB AIT UV Used Working
      TEL Tokyo Electron CPC-T0033A-12 IO CSB Board #01 TOB1033 Lithius Used Working
      TEL Tokyo Electron 5080-192749-12 X-Axis IRAM XY-HS0051-804-001 Lithius Used
      TEL Tokyo Electron 5085-404809-11 Career Station Block Robot Track Lithius Used
      Integrated Dynamics Engineering EFEM Interface AMAT Quantum Leap 3 Used Working
      OAI 0320-010-01 Exposure Analyzer 320 System Used Working
      AMAT Applied Materials 0010-24485 422527-P4-PROJ 300mm 32x.300 Magnet New
      AMAT Applied Materials 0010-35937 RF Match Assembly Used Working
      Valad Electric HP18X24-22.5-1 Genesis Press Heater Module working
      Lam Research 852-011061-503-E1-231 Lower Chamber 200mm 4420 Untested As-Is
      Nikon 3AR-4R Vacuum Gauge Box NSR-S307E Used Working
      SVG Silicon Valley Group Developer Spindle Motor Controller 121-142F 90S Used
      TEL Tokyo Electron A119182 Magnet Winding Assembly RMX 12 MRC Used Working
      Johnson Matthey TSQUALWDX-05635 X-Long Quantum Target 7.83 x 11.640 x 13.05"
      VAT 14048-PE44-1017 HV High Vacuum Gate Valve Alcatel 2460 Used Working
      Canon PG-CR-B0D1 Scanner Wafer Transfer Robot & Controller Used Working
      VAT 14046-TE44-AAC1 HV High Vacuum Gate Valve Alcatel 2460 Used Working
      Johnson Matthey P1000-05635 Target 0.975 x 11.640"
      Daifuku OPC-5600A SBC Single Board Computer VMEbus PCB Card MEM-5691B Used
      Axcelis Microwave Waveguide Assembly ASTeX GL219 2M256 Untested As-Is
      THK LM Guide Actuator KR 40” Sigmameltec RTS-500 Used Working
      Ultratech Stepper 01-18-01818-01 Optics Assembly 2244i Photolithography Used
      Daihen SMA-20B Microwave Assembly Hitachi M-712E used working
      THK LM Guide Actuator KR 56”Sigmameltec RTS-500 Untested As-Is
      TEL Tokyo Electron OYDK-017A IO P-CHEM #01 OYDK-018B PCB Assembly Lithius Used
      Axcelis Fusion PS3 Microwave Chamber Component 590561 2722 162 10311 Used
      SMC HRZ010-WS Thermo Chiller TEL 3D13-000007-V1 Tested Not Working As-Is
      KLA-Tencor 64060 Distribution Module KLA Quantox XP Used Working
      Nikon K-00101 Laser Prism Assembly KNB47138 NSR Used Working
      ICT 932303 Ion Assembly SV300 AMAT 50409050000 SEMVision cX Used As-Is
      Nikon K-00102 Laser Lens Carousal Assembly KNB47145 NSR Used Working
      Arcom 7041-64423-004-101 Control Computer AMAT 9090-00810 Quantum X As-Is
      Qualiflow 05M07 High Flow Shut Off Valve F-Series Reseller Lot of 40 Used
      Nikon Z-4B1A-A1901 Linear Track NSR-S307E Used Working
      Nikon Z-4B1A-A0402 Linear Track NSR-S307E Used Working
      Nikon 21541 Laser Lens Assembly NSR System Used Working
      Synergy Microsystems VGM2-C SBC Single Board Computer RGS2-B Used Working
      Thermocoax HA04-0784022 Wafer Hot Plate Assembly Used Working
      Synergy Microsystems VGM2-E SBC Single Board Computer RGS2-B Used Working
      Hitachi CR-8V Clean Robot M-511E Missing Arm and Covers Untested As-Is
      Heiland Electronic 301-341.225-000 SP3 Spectralphotometer Untested As-Is
      Synergy Microsystems VGM2-D SBC Single Board Computer RGS2-B Used Working
      Varian Semiconductor Equipment 101866004 RDAC Sub Assembly E1000 Used Working
      HP Hewlett-Packard 1670E Logic Analyzer with E5385A Calibrated Tested Working
      TEL Tokyo Electron 6P-009283-024 SHOWER HEAD 8 16-3/4" O.D. AceCo DS33-960 New
      Lasair 1510-(8) Particle Counter
      SOLID STATE COOLING SYSTEMS 10-12143-12-2 Cleanstream New Surplus
      HP Hewlett Packard 5517C Laser Head 399uW Option C05 Nikon NSR-S202A Used
      AMAT Applied Materials 0020-79039 Housing Spindle Assembly Used Working
      Harmonic Drive Systems RH-8D-6006-E100D0 DC Servo Actuator Nikon NSR-S205C Used
      TEL Tokyo Electron TKB7001 IO Spin #02 TKB7042 PCB Board Lithius Used Working
      TEL Tokyo Electron HTE-OA1-B-11 Oven Board #01 W/ Add-on PCB ACT12-300 Used
      Quantum Global Technologies 02-327347D04 Injector Flange Stepped Refurbished
      Sony 1-675-992-12 Laserscale Processor PCB Card DPR-LS21 X-Axis NSR-S204B Used
      TEL Tokyo Electron 3210-2012-70-11 CARD HOLDER R(S) New
      Yaskawa USAHEM-02-TE53 DEV/COT Spin Motor TEL 2986-401535-12 ACT12 200mm Used
      Koganei 4B024-508 Pneumatic Controller SP0994W Nikon NSR-S202A Used Working
      HP Hewlett Packard 5517C Laser Head 373uW Option C05 NSR-S202A Used
      Lam Research 719-003481-872-C Ceramic Plate Used Working
      Nikon 4S007-545 Sensor Analog Board EXX-FC2-SNS NSR-S202A Used Working
      VAT 14046-CE34-0005 High Vacuum Gate Valve Nordiko 9550 Used Working
      Nikon 4S061-663 DC Power Unit Used Working
      AMAT Applied Materials 0200-39137 Ceramic Dome (Y203) Coating (DPS) Used
      Spectra-Physics MG-532C-400-19 Laser Head
      Sony 1-689-900-11 Laserscale Interface Backplane Board PCB Nikon NSR-S306C Used
      Nikon 4S005-362-2 Interface Sensor Board PCB AF-SENSORX4 NSR System Used Working
      SMC US13394 Slit Valve Pneumatic Cylinder AMAT 3020-00077 Gate 0040-41892 Used
      Nikon WS Shock Absorber (RFC) NSR System Used Working
      HP Hewlett-Packard 6628A System DC Power Supply Used Tested Working
      OLYMPUS BH3-5NRE-M Microscope Head with Objectives Used Working
      WACOM QUARTZ CORPORATION 106014-XX Vertical Quartz Pedestal 200mm New
      Digital Electronics GLC2300-TC41-24V Pro-Face 6” Touch Panel 2980070-12 Used
      Nikon 4B046-189 LC Bellows Pressure Unit NSR-S202A Used Working
      Nikon 4S018-162-A Backplane Interface Board PCB ALGMTH-SR8 NSR-S204B Used
      AMAT Applied Materials 0021-00540 DPS Upper Chamber Lid Refurbished
      Nikon 4S025-350 RemoteIO-Comm Card PCB NSR-S620D Immersion Scanner Used Working
      Nikon 4S008-119-1 Processor Control Card PCB XYDRV NSR-S307E DUV Used Working
      Nikon 4S018-713-1 Interface Board PCB RASIG NSR-S307E DUV 300mm Used Working
      VAT 14046-PE44-AAL1 Pneumatic High Vacuum Gate Valve Used Working
      Nikon 4S018-714-1 Processor Control Board PCB STIFMEMX4A NSR-S307E DUV Used
      Sony 1-675-992-13 Laserscale Processor PCB Card DPR-LS21 Z-Axis NSR-S204B Used
      Nikon 4S005-342 Interface Board PCB KAB11000/3401-1 Nikon OPTISTATION 7 Used 
      Nikon 4S025-353 IO-COM4 Interface Card PCB 4S013-768 NSR-S620D Used Working
      Nikon 4S025-492 Processor Control Board PCB BK_WARIO NSR-S620D Used Working
      Nikon 4S019-160 Interface Board PCB ALG-ACE2 NSR-S307E DUV 300mm Used Working
      Sony 1-675-992-13 Laserscale Processor PCB Card DPR-LS21 X-Axis NSR-S204B Used
      Nikon 4S015-315 CPU Control Card PCB ACP-112(Master) NSR-S307E Used Working
      Nikon 4S018-716 Relay Control Board PCB OPDCTRL3 NSR-S307E DUV 300mm Used
      Nikon 4S018-884-A Interface Board PCB C30-CPCI-IC NSR-S307E DUV 300mm Used
      Nikon 4S019-152 Relay Interface Board PCB RSDRVX4B NSR-S307E DUV 300mm Used
      Sony 1-675-992-13 Laserscale Processor PCB Card DPR-LS21 Y-Axis NSR-S204B Used
      Nikon 4S007-953-A WL3MOT5 Relay Board PCB Card NSR-S204B System Used Working
      Nikon 4S018-866 Relay Control Card PCB PPD3X4 NSR-S205C Step-and-Repeat Used
      Nikon 4S013-510 Interface Card PCB SPAIFX4B NSR-S307E DUV Used Working
      Nikon 4S019-153 Relay Interface Board PCB WSDRVX4B NSR-S307E DUV 300mm Used
      Nikon Linear Wafer Transformer Assembly Optistation 3 Used Working
      Hitachi Kokusai Main Body Lower Index Robot Kokusai Zestone DD-1203V As-Is
      Yaskawa ULSI-1A-NQ14 Y-Axis Linear Magnet Motor NSR-S204B Used Working
      Kokusai CX1307 Controller Zestone DD-1203V 300mm Used Working
      Hitachi Kokusai Main Body Robot Kokusai Zestone DD-1203V Used Untested As-Is
      Sigmameltec RTS-500 Wafer Transfer Robot Untested As-Is
      Asyst 9750-2000-00 300mm Load Port SMIF-300FL, S3, 25WFR 78-115406A26 I/O B Used
      Nabtesco 3D80-000005-V3 Wafer Transfer Robot Used Working
      Millipore INGEN2PU0 Single Resist Pump Cart SH5M055R9 RTS5000 Sigmameltec Used
      KLA-Tencor CRS-3000 Microscope Assembly Olympus BH3-5NRE-M BH2-HLSH Used Working
      Ultratech Stepper 03-15-05375 Inspection Stage 2244i Photolithography Used
      AMAT Applied Materials 0020-48185 Shield Lower 250mm Spacing 3.35 Leaf A New
      TMP Shimadzu TMP-203M Turbomolecular Vacuum Pump Used Turbo Tested Working 
      AMAT Applied Materials 0020-26374 Clamp Middle Shield 300MM Used Working
      TEL Tokyo Electron ES3D05-350119-V1 Insulator ESC EXHP-UP New Surplus
      Opal 70512527 CVC PCB Board AMAT Applied Materials SEMVision cX Used
      Opal 50312540100 DVD PCB Board AMAT SEMVision cX Used Working
      TEL Tokyo Electron ES3D10-2500934-V1 Focus Ring 360-302 T3.4 New Surplus
      TEL Tokyo Electron ES3D10-150450-V1 Ring, BTM Shield EXHP-UP New Surplus
      Opal 50412570 ETD PCB Board AMAT SEMVision cX Used Working
      Opal 3061255000 MIS2 PCB Board AMAT SEMVision cX Used Working
      AMAT Applied Materials 0200-08301 Deposition Ring 300mm BESC Ceramic New
      Nikon Z-4B1A-A0402 Transfer Station With Display NSR-S307E Used Working
      TEL Tokyo Electron 3985-475807-W2 Cool Plate Used Working
      AMAT Applied Materials 0040-48435 300mm Upper Chamber Adapter New
      Varian Semiconductor Equipment E11038270 Power Box Assembly E1000 Used Working
      Neslab 323003260108 Recirculator Coolflow SYSTEM II Lot of 7 Seized Motors As-Is
      DCG-200Z ENI DC21M-Z041300110A DC Plasma Generator MASTER Interlock Fault As-Is
      VAT 65040-PA52-ACB2 Pendulum Control and Isolation Valve 98800 Copper Used
      SCI BOC 5386249-001 Gas Cabinet Control Box SpecraSafe Untested As-Is
      MRC Materials Research D119691 12" Preclean Bell Jar 4629211-0001 Eclipse Used
      PRI Automation LTRA042-SBI Robot Rail AMAT SemVision cX 300mm Untested As-Is
      Bede D1G 001/2 X-Ray Microsource Assembly Used Untested As-Is
      Edwards NGV466000 Power Distribution Box w/ Cables NGV466010-D Used Working
      AMAT Applied Materials Load Lock Internal Transfer Unit SemVision cX 300mm Used
      TEL Tokyo Electron TKB7000 IO SPIN #01 TKB7043 DEV ASSY PCB Lithius Used Working
      TEL Tokyo Electron TKB7000 IO SPIN #01 TKB7042 COT ASSY PCB Lithius Used Working
      Mykrolis GHPV02P01 Gaurdian HPX 20" Chemlock Cartridge New
      AMAT Applied Materials 0200-00674 8” Dep Ring AMAT Endura 200mm Used Working
      AMAT Applied Materials 0021-12762 Lower Shield 8in BESC SIP TA 200mm Used
      IKO Nippon Thompson TSL220-600/A10E583 Robot Track 32.5" Used Working
      Asyst SMART PLUS 1.92.6.1.1 Robot Controller Computer PC ASM Epsilon 3000 Used
      Novellus 03-417236-00 PCA GEN II FE INTF PCB C3VCTR Vector 300mm Used Working
      ADP 31 Alcatel ADP-31-M1 Dry Vacuum Pump Tested Not Working No Power As-Is
      IMC Magnetics BT5340V-5 Blower Reactor Cooling Fan ASM 46-106737A32 Used
      Nova 210-70000-01 NovaScan 420 Notebook Front End AMAT 0650-002266 Used Working
      Novellus C3 Vector Cable Kit 03-252223-00 03-291555-00 Set of 7 Used Working
      AMAT Applied Materials 0021-12764 Upper Shield 8in SIP-TA AMAT Endura 200mm
      Edwards 500032061 Helios EPI Bypass Valve Assembly Used Working
      ASM 1045-426-01 SGL Element SUSC Heater Emerald Used Untested As-Is
      Nikon M-EXX451301 Fiber Optic NSR-5307E Light Source Assembly Used
      AMAT Applied Materials 0200-00673 8” Cover Ring Endura 200mm Used Working
      AMAT Applied Materials 0021-12763 Inner Shield 8in Endura 200mm Used Working
      Novellus 15-311164-00 Ring Wafer Lift 300mm STD ID EC VCTR Vector Used Working
      Asyst 6900-1551-01 System Controller Epsilon 3000 Used Working
      Hitachi AL-2BL Communication Module Olyumpus MD E67V-0 Used Working
      AMAT Applied Materials 0190-00576 Endura Main Frame Cable Rev. 003 Used Working
      AMAT Applied Materials 0190-24298 Endura RF Cable Rev. 002 Used Working
      RKC Instruments REX-B870-CS1B Temperature Controller TEL ACT12 Used Working
      Exynetics Electroglas DCM3 Display Control Module Used Working
      SVG Silicon Valley Group Developer Spindle Motor Controller 121-142H 90S Used
      Pacific Scientific SC750 Servo Controller 121-236 Rev. C Used Working
      Hitachi HTA 1-814575 A Ergo Loader Used Working
      Pacific Scientific SC755A040-08 Servo Controller SC750 SVG 90S DUV Used Working
      Radisys 859-8379-001B Circuit Board PCB Used Working
      SVG Silicon Valley Group Coater Spindle Motor Controller 121-143K 90S DUV Used
      Nikon 4S018-162-B Backplane Interface Board PCB ALGMTH-SR8 NSR-S204B Used
      Nikon SAT-80-2000A2 Shock Absorber NSR-S204B Used Working
      Harmonic Drive Systems RH-8D-6006-E100D0 DC Servo Actuator Nikon NSR-S307E Used
      Nikon 4S015-046H Processor Control Card PCB NK386SX NSR-S202A System Used
      Nikon 4S018-156 Backplane Interface Board PCB LC-MTHR8 NSR-S202A Used Working
      Nikon 4S018-916 X-Axis Interface Board PCB X_I/F2 NSR-S307E Used Working
      TEL Tokyo Electron Ltd. Chamber Assembly Unity 2 New Surplus
      Nikon 4S017-912-A Control Board PCB PPD-CTL New Surplus
      TMH 071 P Pfeiffer PM P02 980 C Turbomolecular Pump Turbo Used Tested Working
      Nikon 4S018-162-A Backplane Interface Board PCB ALGMTH-SR8 NSR-S202A Used
      AMAT Applied Materials 0040-32543 IPS Lower Magnet Liner Refurbished
      Hitachi 3-843646-01 Ceramic Insulation Ring 233229463 New Surplus
      Nikon 4S015-084 Relay Control Card PCB BUSMON3 NSR-S202A System Used Working
      Nikon 4S015-094 Processor Card PCB NK386SX3 4S015-118 NSR-S202A Used Working
      Vaisala DMT347 Dewpoint & Temperature Transmitter Nikon 4S066-914 Used Working
      TEL Tokyo Electron Wafer Indexer Robot 300mm Immersion System Incomplete As-Is
      Nikon 4S018-918 Processor Interface Board PCB PRE1_I/F2 NSR-S307E Used Working
      Nikon SAT-80-2000A1 Shock Absorber NSR-S204B Used Working
      Nikon 4S018-037 Process Test Card PCB SR-EX4 NSR-S202A System Used Working
      Nikon 4S018-295 Backplane Board PCB STGMTR NSR-S202A Used Working
      AMAT Applied Materials 0010-09978 Module MFG Gold Precision 5000 P5000 Used
      AMAT Applied Materials 9090-00791 ITL 29Z Power Supply Assembly Used Working
      MRC Materials Research A114571 Wafer Aligner Assembly Eclipse Star A114575 Used
      Panasonic MSD261Y82 Controller Assembly PCB Card 581B357C 581B345E TEL ACT8 Used
      Ametek TM-II-Control Thermox Oxygen Analyzer Monitor 80394 SE Used Working
      Brooks Automation 6-0002-0443-SP Robot Rail TRA 035-LPS KLA 0014445-000 AIT Used
      KLA Instruments 710-607901-02 M.S.I2 PCB Card 073-607901-01 Used Working
      KLA Instruments 710-611867-000 Mustang Random Defect Detector PCB Card Used
      Verity 1000805 Monochromator Detector EP200Mmd Axcelis 485211 Fusion ES3 Used
      Verity 1000805 Monochromator Detector EP200Mmd Axcelis Fusion ES3 Used Working
      Hitachi CR-712T-AC Clean Robot Hitachi M-712E Used Untested As-Is
      KLA Instruments 710-614256-001 Defect Buffer III PCB Card 073-604839-00 Used
      B&R Automation 5PC720.1505-K16 15" Industrial Panel PC Used Working
      KLA Instruments 710-659412-00 Rev. C0 Mass Memory PCB 700-659412-00 2132 Used
      KLA Instruments 710-658036-20 Alignment Processor (AP1) Phase 3 PCB 2132 Used
      KLA Instruments 710-610391-000 KLA XYI PCB Card 073-658909-00 Used Working
      KLA Instruments 710-609995-003 Gray Level Correction PCB Card 073-609995-000 Use
      Sun Microsystems 380-0339-01 Control Computer PC KLA 740-616732-001 eS2OXP Used
      Verity 1000805 Monochromator Detector EP200Mmd Axcelis 572961 Fusion ES3 Used
      KLA Instruments 710-658232-20 Rev. HB K.L.A. Memory Controller Phase 3 PCB Used
      KLA Instruments 710-658041-20 Alignment Processor Phase 3 PCB Card 2132 Used
      Nikon 4S064-419-4 User Interface NSR-S307E Missing Bottom Cover Used Working
      Orion ETC902-NSCP-L2-SET Chemical Pelthermo Thermoelectric Unit new surplus
      KLA Instruments 710-678525-001 KLA DF PCB Card 073-658362-001 2132 Used Working
      KLA Instruments 710-659465-20 8IB PCB Card 073-653015-00 2132 Used Working
      KLA Instruments 710-658177-20 X-Interpolator Phase 3 PCB Card Rev. F1 2132 Used
      S&C Electric DA810010DG04 Power Conversion Module 910022 Used Working
      AMAT Applied Materials 0010-25341 CPI-VMO Chamber Source 1 Rev. 004 As-Is
      Daihen AMN-50L-V RF Auto Matcher 3D39-000004-V2 Copper Exposed As-Is
      ASML 4022.639.93004 CT WVACEIM Unit NXT Used Working
      Varian VGA250IEP1 Gate Valve Assembly ISO250 Used Working
      Hirata MB-H202APCL-700R-D1 Cartesian Robot Rail Used Working
      TEL Tokyo Electron PS Controller 3D81-000065-V1 3D81-000067-V1 T-3044SS Used
      AMAT Applied Materials 0200-08569 Insulator Quartz 300mm PCII 2.0 New Surplus
       AMAT Applied Materials 0010-22911 PVD Chamber Power Controller Endura Used
      TMP Shimadzu EI-3203MD-A1 Turbomolecular Pump Power Unit AMAT 3620-01616 New
      AMAT Applied Materials 0010-25151 ESIP Encore II TAN Controller Used Working
      Nikon MCR2S700-598 PCB Circuit Board Used Working
      HD Hokuto Denko HZ3000 Automatic Polarization System Used Working
      MRC Materials Research 25438-2100 Plenum Chuck Assembly Eclipse Star As-Is
      Microvision MVT3080 Wafer Prealigner Used Working
      Tencor Instruments 297208 AOD AIT Board PCB 325759 KLA-Tencor AIT I Used Working
      Millipore INGENTPU0 Single Resist Pump Cart RTS5000 Sigmameltec RTS-500 Used
      TEL Tokyo Electron 2987-455715-W1 CRA X Cassette Block Robotics Arm 200mm Used
      Turbo-V 550 ICE Varian 9699078 Turbomolecular Pump TV 550 Turbo Tested Working
      Nikon 4S008-056-A AIS Sensor Board PCB AISsns-X4 NSR System Used Working
      Nikon 4S008-056 AIS Sensor Board PCB AISsns-X4 NSR System Used Working
      Tencor Instruments 188859 4-Channel PWM Motor Drive PCB KLA-Tencor AIT I Used
      Electroglas 200mm Wafer Prealigner Inspection Stage Camera 256286-001 4085X Used
      SVG Silicon Valley Group 40615-01-0 Chill Plate End Station 90S DUV Used Working
      Lambda EMI 00500003 Power Supply SX5025-5KV-NEG-DC-AIR-1370 Axcelis Fusion Used
      PRI Automation 7500 Box Pod Stocker and 7100 Cassette Anomaly Station Used As-Is
      Nikon Piezo Electric Sensor NSR-S204B Main Body Used Working
      Liebert SCC030C-4 Power Conditioner Datawave 1 SVG 905 DUV System Used Working
      ATX 600 AE Advanced Energy 3155017-002 RF Matching Network Tuner New
      ASM Advanced Semiconductor Materials 73055-72359 Exhaust Duct CBS-RC1 Used
      Cognex 14-008645 In-Circuit Test PCB VPM-3114-1 Therma-Wave 14-007310 Used
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Missing Covers Used
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper No Covers Used
      TEL Tokyo Electron TKB7031 I/O Spin MTR #02 PCB TEB207-12 Used Working
      KLA-Tencor CRS-1010S Microscope Assembly Olympus BH3-5NR3-M BH2-HLSH Used
      Asyst 6331/27273 Handler Used Working
      AMAT Applied Materials 9010-01545 S2S Softdrive SAF305-045 Used Working
      MRC Materials Research Eclipse Front Plane Heat 300mm Used Working
      SSM Solid State Measurements SX-UID500H CM H2 Lamp Assembly Hitachi I900SRT Used
      KLA-Tencor 570-0443 Heat Exhaust Blower AIT 2 Used Working
      Air Products 214463 2Cyl Manifold AXO-AP1402TSMA-WF6  New Surplus
      Air Products 214469 2Cyl Manifold AXO-AP1510SM-NH3 807-470626502A New Surplus
      Infineion Technologies Valve Control Box  KC-VCB
      Yaskawa SGDH-75AEY906 Platen Servo Motor Driver SERVOPACK AMAT 0190-08040 Used
      PMT MORI 200 RF-Driven Helicon Plasma Source 13.56 MHz Used Working
      Grundfos CRN5-10 A-P-G-E-HUUE Centrifugal Pump A96511917P10410 Used Working
      KLA Instruments 710-658161-20 Image Sensor Assembly 073-655201-00 Used Working
      Nova Measuring Instruments 210-48000-00 NovaScan 840 Controller Used Working
      Grundfos CRN5-10 A-P-G-E-HUUE Centrifugal Pump A96511917P10430 Used Working
      Grundfos CRN5-10 B-P-G-E-HQQE Centrifugal Pump A96581463P20539 Used Working
      Brooks Automation 015-0804-01 Wafer Aligner Gimbal Assembly Used Working
      Nikon Wafer Loader Port Wafer Holder Keyence Copal NSR-S307E Used Working
      Edwards NRD75100H Helios Head Assembly Combustion Chamber Factory Refurbished
      Nikon 4K802-997 Robot Elevator 4S013-464 PCB RBTLNK3 NSR-S307E Used Working
      Edwards Y12201000 Helios Head Assembly Combustion Chamber Factory Refurbished
      Edwards Y12501001 Helios Head Assembly Combustion Chamber Factory Refurbished
      Nikon 4K802-253 Robot Elevator 4S013-464 RBTLNK3 NSR-S307E Used Working
      Digital VS46K-EA System Computer VAXstation 4000 60 KLA-Tencor 5107 Overlay Used
      Edwards Y12201027 Helios Head Assembly Combustion Chamber Factory Refurbished
      E'Wave 3152603-005 AE Advanced Energy 27-260607-00N RF Generator Refurbished
      Hitachi 3-843646-01 Ceramic Insulation Ring Hitachi M-712 Etcher New Surplus
      Laytec EpiCurve Twin TT Curve Optical Head Used Working
      Hitachi 2-A00624-90 300mm DZ-ISL Electrode Cover Hitachi M-712 Used Working
      Nikon S207/S307 WH Manual Wafer Loader Transfer Platform NSR-S307E Used working
      Hitachi 3-849438-90 M712 Earth Shield Hitachi M-712 Etcher New Surplus
      Robitech 859-8067-003 Roll Up Card Cage Console Rev. A Used Working
      ASML 4022.470.6295 RH Handling Box SHB-RH Nikon NES1 Mini Stepper Used Working
      Robitech 859-8067-004 Pneumatic Pressure Console Rev. B Nikon Used Working
      Therma-Wave TP-420 Laser Power Supply 208V 20A Single Phase Used Working
      Robitech 859-8067-004 Pneumatic Pressure Console Rev. A 990-9160 Used Working
      KLA-Tencor 0099602-001 Retrofit Optics Beamsplitter Used Working
      TEL Tokyo Electron 1810-121991-11 PLATE UPPER New
      AMAT Applied Materials 9090-00442 PSU Resistor 9010-01409ITL Incomplete Used
      DS TECHNO CO. KMC-QD-026 SMOOTH QUARTZ DISK Used Working
      VAT 64246-UE52-0101 Motor Controlled High Vacuum 8" Gate Valve Used Working
      TEL Tokyo Electron B31810-121990-11 PLATE UPPER New
      TMU 260 Pfeiffer Vacuum PM P02 135 Turbomolecular Pump Turbo Untested As-Is
      KLA Instruments 710-659412-00 Rev. B0 Mass Memory PCB 700-659412-00 2132 Used
      KLA Instruments 710-658232-20 Rev. G0 K.L.A. Memory Controller Phase 3 PCB Used
      KLA Instruments 710-658086-20 Rev. D0 Interface 1 Phase 3 PCB 2132 Used Working
      KLA Instruments 710-658363-20 Rev. C0 DF Assembly Board PCB 2132 Used Working
      KLA Instruments 710-659412-00 Rev. C1 Alignment Processor (AP1) Phase 3 Used
      Kurt J. Lesker 750-005-G1 Sputtering Shutter Module Front Load Sensor New
      KLA Instruments 710-658076-20 Rev. C0 Defect Processor PCB 2132 Used Working
      KLA Instruments 710-659465-20 Rev. A0 8IB PCB Card 2132 Used Working
      Electroglas Optical Wafer Inspection Assembly 200mm 4085X Horizon Used Working
      XDS 10 Edwards A726-01-906 Dry Scroll Pump XDS10 Used Tested Working
      AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. D As-Is
      ET300W Ebara Turbo-Molecular Vacuum Pump Type 1 Used Tested Working
      Motorola STHX4006DA 2.3GHz WiMAX WAP Access Point  XCVR New
      AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
      KLA Instruments 710-658177-20 X-Interpolator Phase 3 PCB Card Rev. F0 Used
      KLA Instruments 710-658172-20 Y-Interpolator C,PH3 PCB Card 2132 Used Working
      Johnson Matthey PI000-05867 Copper Target Plate S12460-E New Surplus
      KLA Instruments 710-658041-20 Alignment Processor Phase 3 PCB Card Rev. A0 Used
      KLA Instruments 710-658036-20 Alignment Processor Board Rev. A0 Used Working
      Nikon Z-4B1A-A1601 Linear Track NSR-S307E Used Working
      KLA Instruments 710-658177-20 X-Interpolator Phase 3 PCB Card Rev. C0 Used
      KLA Instruments 710-658086-20 Rev. E0 Interface 1 Phase 3 PCB 2132 Used Working
      Hitachi Wafer Alignment Assembly HT98311 M-712E Shallow Trench Etcher Used 
      KLA-Tencor 740-060658-001 Cannon Box Magazine Assembly Used Working
      TEL Tokyo Electron TDB113-1 / I01 RF Pump Box ACE450F Used Working
      Kawasaki C62C-A002 Robot Controller Used Working
      Kokusai Electric DD-803V Vertron DJ-825V Main Robot Chamber
      CTI-Cryogenics 8107813G001 8F Cryopump CRYO-TORR High Vacuum Pump new
      CTI-Cryogenics 8112898G005 8F Cryopump RETROFAST Used Working
      Agilent 16702B Logic Analysis System with One 16753A module, Four 16760A modules
      FSI ChemFill Chemical Delivery System
      ASM 1030-058-01 KIT-OPR TC 200MM TOYO TANSO
      CTI-Cryogenics 8107813G001 8F Cryopump CRYO-TORR High Vacuum Pump New
      Nikon NSR-S205C Beam Matching Unit Untested As-Is
      ASM 16-141270Y01 Chamber Process ATM 300 Test New 
      Tektronix TDS 684C Color Four Channel Digital Real-Time Oscilloscope
      Metron 16-404782-01 SUSCEPTOR-150MM X .52MM POCKET-GAMMA ASM
      ASM 02-140301-02 ASSY-E87 NT BOX-PROGRAMMED
      ASM 1051-890-02-R ASSY-PVM CENTER PORT INJECTION NO HEATER
      Lasertec C-100824A PCB Stage Limit A Lasertec MD2500 Used Working
      Nikon 4S018-912 REX-DRV2 Driver Board PCB Used Working
      Nikon 4S018-385-2 Driver Control Card PCB ZTDRVX2 NSR-S204B System Used Working
      Nikon 4S782-443 Sensor Box 4S005-155 4S007-549 4S007-426-1 NSR-S204B Used
      Agilent Z4208C Controller VME Board E1845-60001 Nikon NSR-S307E Used Working
      Cymer 107270-B Relay Interface Board PCB 107269 ELS-6400 Used Working
      Nikon 4S008-278 Circuit Board PCB BSA-PZT NSR-Series Used Working
      Nikon 4S018-173 Air Diver Pneumatic Driver Assembly AIRDRV2 Nikon NSR-S204 Used
      Nikon 4S018-867 Proccesor Control Card PCB PPD3X4-I/F NSR 4S015-227 Used Working
      Agilent Z4208-68001-01-0306-00199 Controller PCB Card Z4208 Nikon NSR-S205C Used
      Agilent Z4208B-68001 Controller PCB Board Z4208B NSR-S205C Step-and-Repeat Used
      Nikon 4S018-693 Processor Control Card PCB FPIF-IMAC NSR-S307E DUV Used Working
      Agilent Z4208C Controller VME PCB Card E1845-60001 Nikon NSR-S205C Used Working
      Agilent Technologies Z4208A Controller PCB Card Nikon NSR-S307E Used Working
      Cymer 05-05031-15V Signal Conditioner PCB Board Used Working
      Semitool 500R0409-01 50 Wafer Carrierless Rotor Assembly 200mm Refurbished
      Nikon 81027 Microscope Head Assembly OST-3\3A LED-AF OPTISTATION 3 Used Working
      Nikon 4S018-608 Processor Board PCB WL3I06 NSR-S204B Step-and-Repeat System Used
      AMAT Applied Materials 0090-91422 ITL Micro Discharge Sense Box X2HA XR80 As-Is
      Yamatake DMC50CS40000M003 Multi-loop Controller Module DMC50CS Used Working
      Yamatake DMC50ME200D0M001 Multi-Loop Communication Module DMC50M Used Working
      Yamatake DMC50ME20000M001 Multi-loop Communication Module DMC50M Used Working
      Yamatake DMC50CS400D0M001 Multi-Loop Controller Module DMC50CS Used Working
      Vat 61232-KAEQ-AJK1 Butterfly Control Valve DeviceNet New
      Yamatake DMC50CS400000000 Multi-Loop Controller Module DMC50CS Used Working
      Nikon 4S025-719 Processor Control Card PCB STGXBV1_SL-WS NSR-S620D Used Working
      Nikon 4S018-885 Interface Board PCB CPCI-IF NSR-S307E DUV Used Working
      MEL Melss MBT 240 Unit New Surplus
      Yamatake DMC50CH401D00000 Multi-Loop Controller Module DMC50C Used Working
      Tazmo 4S064-548-1 Robot Controller NSR18 Nikon NSR-S205C Used Working
      MKS Instruments EPCA-24592 2-Channel Controller Card TEL Lithius Used Working
      Nikon 4S019-259 Robot Interface Board PCB ROBOT-I/F4 NSR-S307E Used Working
      Nikon 4S015-098 Processor Card PCB NK-C303-40-1 NSR-S202A Used Working
      Nikon 4S001-067 Power Supply Card PCB 2EA00E182E NSR-S202A Used Working
      IPUP A100 Alcatel A100L Dry Vacuum Pump 24787 Hours AMAT Not Working As-Is
      IPUP E100L Edwards A535-72-945 Dry Vacuum Pump 1072 Hours AMAT Tested As-Is
      IPUP E100L Edwards A535-72-945 Dry Vacuum Pump 8241 Hours AMAT Tested As-Is
      GaSonics A-2000LL Wafer Loader Transfer Arm Used Working
      Yaskawa USAHEM-02-TE62 DEV/COT Spin Motor TEL 2903-300063-13 ACT12 300mm Used
      ASM Advanced Semiconductor Materials 2809818-01 BTC Assembly PCB Rack Used
      ASM Advanced Semiconductor Materials ASM 2856972-14 PCB Chassis Assy DPC Used
      IPUP A100 Alcatel A100L Dry Vacuum Pump AMAT 170 Torr Not Working As-Is
      Arrow Electronics VAD610669-A Power Supply Switcher 770-773250-001 Used
      MRC Materials Research A112489 125mm Back Plane Heater Eclipse Star New
      ASM 11-148252-02 SPARES-VESSEL REBUILD KIT LPV GAS LINES
      Nikon 4S018-679 Circuit Board PCB RL-LIBHT2 NSR Used Working
      ASML 851.0618.003 Stepper Library Brake Used Working
      ASM 02-325956D01 ASSY Transfer Arm 150mm WHC
      14185 42206202-02E CYLINDER LID
      Hitachi Wafer Alignment Unit M-511E Etching System Cut Wires Untested As-Is
      TURBOVAC 150 Leybold 85471 Turbomolecular Pump 854 71 Turbo Tested Working
      Lam Research 716-460216-001 8" STP Chamber Focus Ring New
      Liebert CACO15C4 Magnetic Synthesizer Datawave 1 KLA-Tencor eS20XP E-Beam Used
      Lam Research 715-443130-001 8" ESC Outer Focus Ring AL New
      Brooks Automation 002-4674-009 Acutran 7 I/O PCB Panel Lam 799-901259-001 Used
      iQDP40 Edwards A532-40-905 Dry Vacuum Pump Tested Not Working As-Is
      AMAT Applied Materials Hexode Assembly 8310 CVD 100mm Wafer Used Working
      AMAT Applied Materials 0090-91404 ITL Controller XR80 Implanter Used Working
      AMAT Applied Materials 9090-00098 ITL XR80 Implanter Controller Used Working
      AMAT Applied Materials 9090-00098 Controller XR80 Implanter Used Working
      MRC Materials Research A114570 Cassette B Stepper & Shaft Elevator Eclipse Used
      MRC Materials Research A114570 Cassette A Stepper & Shaft Elevator Eclipse Used
      TMP Shimadzu TMP-203M Turbomolecular Vacuum Pump Turbo Used Working
      Carl Zeiss 45 28 25 Microscope Body Axiotron with Stage Used As-Is
      LCDP70 Edwards A408-05-000 Dry Vacuum Pump with iL Frame Copper Used Working
      Electroglas PSM-C Power Supply Module with PDS 4085X Horizon 200mm Used Working
      VHF 2060 AE Advanced Energy 0920-00056 RF Generator 3150852-005 Temp Fault As-Is
      Semifusion 132 System Driver PCB Board 245 311 Ultratech UltraStep 1000 Used
      Ultratech Stepper 0523-585800 System Driver PCB Board 311 UltraStep 1000 Used
      AMAT Applied Materials 9090-00732ITL Edwards Ion Gauge Module Used Working
      Semifusion 131-1 System Driver PCB Board 245 311 Ultratech UltraStep 1000 Used
      Hine Design Inner Chamber Transfer Robot Gasonics Aura A-2000LL Used Working
      Hubbel A613RXX Electric Booster Heater Used Working
      Nikon 4S015-096-D Processor Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
      Nikon 4S015-096-C Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
      Nikon 4S015-096 Interface Board PCB NK-C303-40 NSR-S204B Step-and-Repeat Used
      Nikon 4S015-096 Processor Board NK-C303-40 PCB Card NSR-S202A Used Working
      CFT-75 Neslab 349104040121 Refrigerated Recirculator Coolflow Tested Not Working
      Lam Research 832-038915-103 13.56MHz RF Match Network Poly Etch Autotuner As-Is
      Varian E11086971 Signal Tower Controller Rev. D Used Working
      HX+75 A Thermo Neslab 386105021704 Recirculating Chiller Faulty Panel As-Is
      Pacific Scientific SC755A040-08 Servo Controller SC750 Case Damage Used Working
      Carl Zeiss 45 74 92 Microscope System AF Controller 457492 Used Working
      Novellus 73-0068 GSNX New Elevator Alignment Tool Left Side Pin GaSonics Used
      Tencor 332305 AC Power Box Assembly LPM Used Working
      Tencor 33205 AC Power Box Assembly LPM Used Working
      Lexel Laser 00-143-502 Laser Controller 85S SVG-859-5163-005 Used Working
      Schlumberger IX Pin Slice Single Board 97923140 Rev. 3 Used Working
      Asyst 03330-001 Theta Arm Transport Unit Hine Novellus 94-1085 Aura 2000LL Used
      Seren 9200010000 Automatic RF Matching Network Controller MC2 MC2-110 Used
      Nikon 4S018-936-1 Backplane Interface Board PCB WL3MTR5-FOUP NSR-S204B Used
      Ultratech Stepper 0553-662600 System Driver Board PCB 03-06-00213 Used Working
      TOSOH 04813-002 BELL JAR QTZ New Surplus
      Koganei 4K177-557 Pneumatic Controller SP00995W Nikon NSR-S202A Used Working
      Nikon 4S018-659 Backplane Interface Board PCB WL3MTR4 NSR-S204B Used Working
      Ultratech Stepper 03-08-00096 Stage Driver Board PCB Used Working
      Nikon 4S018-171-2D Backplane Interface Board PCB OPDMTH3 NSR-S204B Used Working
      Christie 03-260723R01P 3 Chip Panel Driver PCB 50-000723-01P Refurbished
      MKS Instruments T3BIA-27247 Exhaust Throttle Valve NW50 ASM 50-125108A01 New
      Nordiko Rotating Magnet Drive Controller Copley 423 9550 PVD Sputtering Used 
      Nikon 4S019-061 Processor Card PCB AVDRVX4VE NSR-S205C Step-and-Repeat Used
      Nikon 4S019-083 Interface Adapter Card PCB RST-ADPT NSR-S307E DUV Used Working
      Nikon 4S018-168 Interferometer LC IF Card PCB LCLIUIF NSR-S204B Used Working
      Nikon 4S018-705 Relay Board PCB RL-L?HSP NSR-S204B Step-and-Repeat Used Working
      AMAT Applied Materials 0040-61317 Aluminum Oxide Coated Quartz Bell Jar Used
      Nikon Large High-Powered Lens Assembly NSR-S307E Used Working
      TEL Tokyo Electron Stainless Steel Cup Kit 4892 4889 2629 ACT8 Used Working
      Lam Research 810-017003-004 DIP High Frequency PCB Used Working
      Digital FP-VGA 260S Flat Panel Touch Screen Assembly TEL Unity II Used Working
      Power-One RPM5EDEDEFS471 Power Supply Teradyne 405-324-00 A Lot of 9 Used
      AMAT Applied Materials 0010-09181 DC Power Supply Precision 5000 Damaged Used
      Nihon Koshuha AMC-CTA7-00A RF Automatching Controller Hitachi MU-712E Used
      Novellus Systems 02-8113640-00 Controller Used Working
      Shimadzu EI-3203MD Turbomolecular Pump Controller Used Tested Damaged Working
      Nikon 4S019-163 Processor Interface Control Card PCB PPD3T-CTRL NSR-S307E Used
      Nikon 4S018-849 Backplane Interface Board PCB OPDMTHX3 NSR-S204B Used Working
      K-Patents PR-03-A62-HSS Process Refractometer 10-32 Port Used Working
      Nikon 4S018-402 Backplane Interface Board PCB MTH-8SH NSR-S204B Used Working
      Solitec 109338 Universal TTL I/O Card PCB 7604A ASM 4074512-0002 New
      Alcatel ACP 20 Dry Mechanical Vacuum Pump Used Tested Not Working
      Nikon 4S018-142 Backplane Interface Board PCB WL3MTR3 NSR-S202A System Used
      Nikon 4S018-376 Backplane Interface Board PCB LC-MTR-EX2A NSR-S204B Used Working
      AMAT Applied Materials 0221-10821 Heat Exchanger AMAT 0 CCM&E P5000 Used As-Is
      HX75 Thermo Neslab 386105021704 Recirculating Chiller Copper Not Working As-Is
      HX+75 A Thermo Electron 386105021704 Recirculating Chiller Not Chilling As-Is
      TDK MSE181E Interface Block DC Power Supply TEL Tokyo Electron ACT12 Used
      Progressive Technologies 12612G01 Exhaust Blower Sentry 1000 TEL ACT12 Used
      Novellus 73-0068 GSNX New Elevator Alignment Tool Right Side Pin GaSonics Used 
      Matrix System 10 Style 1104 100-200mm Wafer Descum System Chamber Untested As-Is
      AMAT Applied Materials 0021-12555 Shield Upper Liftable Short Rev. 009 New
      Cognex 14-008645 In-Circuit PCB VPM-3114-1 Rev. 2B Opti-Probe 200-0057-2 Used
      AceCo S33-2178 ASM 300mm Pedestal New
      Lam Research 860-010168-001 Rear E.M.O. Enclosure 4420 Used Working
      Air Products 809-470790604A Pigtail for B2H6/N2 cabinet 
      Edwards 2XQ80-QMB1200 Power Distribution Box Novellus Concept II Used Working
      Dynatronix 990-0210-412 Power Supply PMC104/1-1-3 Semitool T16852-36 Used
      Hitachi MH3000 Mini-Environment Handling System Robot Track S-9300 CD SEM Used
      Advantec Toyo Kaisha LHB-20HDW Duct Chiller Hitachi M-511E Used Tested Working
      GaSonics 73-0068 Elevator Alignment Tool w/ 94-2730 Wafer Jig Used Working
      EPX180L Edwards A419-41-152 Vacuum Dry Pump EPX 180L Tested As-Is
      M and W Systems RPC2/28W-RNB Flowrite Recirculating Cooling System Tested As-Is
      Bio-Rad Controller Tape and Disc Drive Assembly Quaestor Q7 Used Working
      Nikon Z-X4P/12-A0702C Interface Unit NSR-S306C Used Working
      ASM 16-193819-99 SUSCEPTOR-300MM HYBRID NON PASSIVATED
      THK LM Guide Actuator KR Sigmameltec RTS-500 Used Working
      TMC 21-26692-02 Active Piezoelectric Vibration Control System STACIS 2000 Used
      Daihen RGA-20C-V RF Power Generator TEL Tokyo Electron 3Z39-000002-V1 Used
      GaSonics A-2000LL Wafer Loader Transfer Arm Missing End Effector Used Working
      ASM 03-188692D01 HW INTRL E3000 Board PCB Epsilon 3200 Used Working
      Dage MCT22 Wire Bond Pull Shear Microtester 22 Bausch & Lomb StereoZoom 7 As-Is
      Nikon 4S018-749 Backplane Interface Board PCB STGMTRX4 NSR System Used Working
      AMAT Applied Materials 0240-91709 Single Stage Tied Regulator
      Nikon 4S018-768 Processor Board PCB NA-IOP-I/F H=10.17mm Used Working
      Nikon 4S001-142 Power Supply Relay Card PCB AFX8PW NSR-S620D Used Working
      AMAT Applied Materials 0040-61317 Aluminum Oxide Coated Bell Jar 300mm Used
      PDX 500 AE Advanced Energy 3156024-105C RF Generator Used Working
      Nikon 4S018-395-A Interface Relay Card PCB WS8DRV NSR-S202A Used Working
      Nikon 4S018-028-1-D Interface Relay Card PCB BLDRV NSR-S202A Used Working
      Nikon 4S018-072-C Interface Relay Card PCB LM2DRV NSR-S202A Used Working
      Nikon 4S018-177-B Interface Relay Card PCB EPDRV1-XB NSR-S202A Used Working
      Nikon 4S017-852-F Interface Relay Card PCB AFDRV NSR-S202A Used Working
      Nikon 4S018-090 Interface Relay Card PCB ADDRV2 NSR-S202A Used Working
      Nikon 4S018-171-1D Backplane Interface Board PCB OPDMTH3 NSR-S202A System Used
      Bensley D43690-001 Server Missing Face Plate Used Working
      AMAT Applied Materials 9090-00097 ITL Implant Gap Servo Controller XR80 Used
      Nikon 4S018-178-C Interface Relay Card PCB WPSEV2-XB NSR-S202A Used Working
      Applied Ceramics 911-02052A 200mm Chamber Insert New
      SMC INR-498-P002 Heat Exchanger AMAT Applied Materials 0190-18418 New
      Panasonic ADKB400BPFADA AC Servo Drive Unit Lot of 17 Untested As-Is
      AMAT Applied Materials 0021-17722 Upper Shield Endura New
      Tosoh 805-377-TA-F-104 Upper Shield AMAT Centura New
      ASM 2867745-01 SiC SUSPENSION RING
      TEL Tokyo Electron OYDK-060 PCB Assembly OYDK-065 OYDK-064 OYDK-020 Used Working
      ASM 73008-70602 Upper Lifter
      ASM 54-123388U17 Control PC CTC with NTP4 2ghz Refurbished
      ASM 16-404781-01 PLATE-RC-BASE-5MM LIP-GAMMA
      ASM 1006-330-01 NW50 ROLLCAM GATE VALVE/IRF-09081-2-01
      JDS Uniphase 2214-20SLUP Laser System 2114P-20SLUP KLA-Tencor CRS-3000 Used
      MDC Vacuum Products Lift Assembly ABLM-133-1 (KLH) Used Working
      LPG-6A ENI LPG-6AL-21321 Low Frequency RF Generator LPG-6L Used Tested Working
      Nikon 4S019-058 Control Board IU-CTRL1 PCB NSR-S307E Used Working
      Nikon 4S001-070-1 Power Supply Board PCB PW-NE NSR-S204B Step-and-Repeat Used
      Nikon 4S001-070-1 Power Supply Card PCB PW-NE DDP-029-A Used Working
      TW 250 S Leybold 11352 Turbomolecular Vacuum Pump Locked Rotor Untested As-Is
      InUSA IN2000-L2-RM Ozone Analyzer AFx Used Tested Working
      Asyst 9700-5327-01 300mm FL Control PCB 3200-1065 KLA-Tencor CRS-3000 Used
      MECS Corporation AL100 Wafer Prealigner As-Is Untested
      Nikon NVCEX-X2A-D Camera Switcher NSR-S204B Step-and-Repeat Scanner Used Working
      Yaskawa ERCR-ND11-A000 Robot Controller Assembly SGDH-08AE-SY705 Used Working
      Nikon 4S586-274 PZT Driver 253200-0020 NSR-S204B Step-and-Repeat Scanner Used 
      Nikon 4S007-941-2 B Power Interface Board PCB MIS-POWAMP2 NSR-S204B Used Working
      Nikon NVCEX-X2A-E Camera Switcher NSR-S204B Step-and-Repeat Scanner Used Working
      JDS Uniphase 2214-20SLUP Laser System 2114P-20SLUP KLA-Tencor 5107 Used Working
      NEC FC-9801U Factory Computer Controller Model 2 Nikon OPTISTATION 3 Used
      MEL Systems And Services MBT 240i MELSS New Surplus
      Lam Research 810-17016-001 Stepper Motor Driver PCB Rev. D 4420 Lot of 7 Used 
      Cadence 2500000006399 Power Supply Unit PSU for Palladium III Emulator
      Hitachi 2M130 Microwave Component Assembly IDX 13175 M-712E Untested As-Is
      Leybold TM21 TM22 Vacuum Gauge Controller Module Used Working
      Applied Ceramics 102054681 Cylinder MRC Eclipse Star New
      MKS Instruments LM2 RGA Residual Gas Analyzer Probe LM10 RF Head Spectra Used
       Nikon 4S007-761 Interface Control Board STG82 NSR-S204B Used Working
      ASM 02-193179-01 Assembly Gauge Lift Pin New 
      SEMITOOL 1067295.1000000001 Ultrasonic Transducer New Surplus
      Nikon 4S019-262 Interface Control Board PCB IU-DRV2-X4B1 NSR-S307E DUV Used 
      Motorola MVME-162-223 VME Processor Board PCB 01-W3059F Used Working
      Nikon 4S025-300-1 Interface Board PCB X8_WLDB NSR-S620D Immersion Used Working
      Nikon AF-PSDX22-SUB PCB Card 4S007-994 4S007-994-1 Lot of 18 Used Working
      Nikon 4S017-866-B Interferometer Relay Card PCB STGLIUIF NSR-S202A Used Working
      Nikon 4S017-866-B Interferometer Relay Card PCB STGLIUIF NSR-S204B Used Working
      Tencor Instruments Surfscan 7700 Laser Assembly JDS Uniphase 2214-30SLQTT Used
      Nikon 4S018-214 Interface Board PCB LM.I/F NSR-S202A Used Working
      Nikon 4S008-207 Processor Board EP-EE3 NSR-S205C BMU Used Working
      MKS Instruments LM505 RGA Residual Gas Analyzer Probe LM10 RF Head Spectra Used
      TCP 380 Pfeiffer Vacuum PM C01 681-A Turbomolecular Pump Controller Used Working
      Nikon 4S008-670 Sensor Relay Interface Board PCB AFSNSX8A-MAIN Used Working
      JDS Uniphase 2214-30SLQRT Laser System 2114B-30SLQRT KLA-Tencor AIT I
      Pilz 773100 Configurable Safety System PNOZ m1p ms2p mi1p mo1p mc3p Used Working
      AMAT Applied Materials 0010-13321 8" Robot P5000 Precision 5000 Untested As-Is
      MKS Instruments 651CD2S2N Pressure Controller 600 Series Used Working
      Novellus Systems 02-15005-00 Module Controller AMAT 3260-0210 Used Working
      Grundfos 49Z52251-P1-0522 002 05 Vertical Pump TP 40-160/2 X-6-A-RUUE New
      MRC Materials Research A121893 100mm Back Plane Heater Eclipse Star New
      Pfeiffer Vacuum TCP 600 Turbomolecular Pump Controller Used
      SUNX M-625-C01 Wafer Address Sensor
      ASM Advanced Semiconductor Materials 03-147116-01 TM Interface Board PCB New
      ASM 16-400177-01 Plate Spacer Reactor Anodized New 
      ASM 16-190115D01 PLATE-RC BASE PASS
      Lam Research 716-011543-002 Attachment Wafer Clamp Plate 716-11543-002 New
      KLA-Tencor 0025040-001 Pneumatic Actuator Rev. AC KLA AIT UV used working
      ENI 31-515-002 RF Match MW-10TM1 Used Working
      TEL Tokyo Electron HTE-OV1-11-B Oven I/O Board PCB TAB2100 ACT12 200mm Used
      Mercury 10013 AE Advanced Energy R27-256558-00 RF Match 3150273-004 F/R A Used
      Force Computers 109872 SBC VMEbus PCB Card SPARC/CPU-50T/256-333-4-2/R4 Used
      Komatsu KDP1320LE-1 Control Panel Assembly WDX4E043.AIP Nikon NSR System Used
      Edwards E2M40 PFPE Sealed Rotary Vane Vacuum Pump 40 Two Stage Untested As-Is
      Nikon 4S018-831 Backplane Interface Board PCB LIUBPB2X2 NSR-S204B System Used
      Vaisala PTBNIK-W8A Pressure Transmitter 500-1100hPa NSR System Used Working
      Fusion Semiconductor Systems 092381 UV Probe Meter M150Z with Probe & Cable Used
      Agilent 10897-60002 Laser Axis Control PCB Card 10897B Nikon NSR-S204B Used
      Nikon 4S005-387 Optical Sensor Board AF-SENSORX4-SUB-A 4S083-858 Used Working
      AE Advanced Energy 3152292-000 MDX-L Diagnostic Module Used Working
      KLA Instruments 655-659488-02 Wafer Cassette Stage Assembly 2132 Used Working
      KLA Instruments 655-659488-01 Wafer Cassette Stage Assembly 2132 Used Working
      Spectronic Genesys 5 Spectrophotometer Milton Roy 336001 Used Tested Working
      Ebara Technologies 80x25 Multi-Stage Dry Vacuum Pump System Untested As-Is
      Matrox IM-CLD/AT/N Trigger and Video PCB Card KLA-Tencor 2552X Used Working
      Reliance Motion Control MC-430 Brush Servo Drive Electro-Craft MAX-430 Used
      VAT 02010-BA24-1001 Pneumatic Slit Valve Lam Research New
      Lam Research 716-072305-002 Ceramic Ring G-INJ JETS 8x .76mm New
      Lam Research 715-011535-100 Upper Electrode Clamp Ring 715-11535-100 New
      Lam Research 715-011746-166 W/C 6" RDCD HGT Plate New
      Lam Research 713-100180-503 Captive Electrode Confinement Ring New
      Lam Research 716-000144-001 Ceramic Ring Assembly Rev. A New
      Lam Research 716-004607-001 10.75 ID GND PLT.D Filler Ring New
      Lam Research 715-011531-008 Anodized Aluminum Electrode Used Working
      Lam Research 716-011580-144 4" Wafer Clamp Plate 716-11580-144 New
      Lam Research 716-011580-146 4" Wafer Clamp Plate 716-11580-146 New
      Lam Research 716-011563-156 5" Domed Wafer Clamp 716-11563-156 New
      Lam Research 716-011580-244 4" Wafer Clamp Plate 716-11580-244 New
      Lam Research 716-011563-166 6" Domed Wafer Clamp with Pins New
      KLA-Tencor 780-615505-000 Laser Optics Assembly eS20XP E-Beam Used Working
      VMIC 5530M VMIVME Optical Extender PCB Card Lam 810-077433-001 Used Working
      Lam Research 716-011889-161 6" Wafer Clamp Edge 60 Jeida New
      Lam Research 716-28296-001 Insolator Upper 8" New
      Lam Research 716-44054-108 Alumina PLT Adapter Focus Ring New
      Lam Research 715-028289-001 Upper Electrode Ring Clamp New
      Lam Research 715-021051-001 4620 Upper Electrode Shroud New
      Lam Research 716-443090-001 Upper Quartz E2 Ring New
      Lam Research 716-028668-251 5" Shagow DMD Top Wafer Clamp New
      Lam Research 715-249056-001 200mm ESC Upper Confinment Ring New
      Lam Research 716-028635-001 4" Insert Wafer Clamp Plate 716-28635-001 New
      Lam Research 716-011580-151 5" Wafer Clamp Plate 716-11580-151 New
      Lam Research 716-160260-001 8" BAC INSRT CARR Wafer Clamp Plate New
      Lam Research 716-028760-001 Domed Wafer Clamp Bottom Plate New
      Lam Research 716-011563-063 6" Domed Wafer Clamp UNIV New
      Lam Research 716-028668-053 5" Domed Wafer Clamp Plate 716-28668-053 New
      GaSonic A89-013-01 LED and Interface PCB Control Panel Reseller Lot of 11 As-Is
      Lam Research 716-028414-014 Focus Ring 716-28414-14 New
      Lam Research 716-011763-008 Wafer Clamp Edge 8" Ring New
      Lam Research 716-249040-002 150mm ESC Focus Ring New
      Lam Research 716-025080-001 Ceramic Ring 716-25080-1 New
      Lam Research 713-018614-304 8" High Conductance Focus Ring New
      Lam Research 716-330167-261 6" Bottom ACTR Clamp Ring New
      Lam Research 716-011624-005 Insulator Ring Lower Electrode RDCD HGT Rev. C New
      Lam Research 716-028721-281 8" Shadow DMD Bottom Wafer Clamp PLT Rev. B New
      Lam Research 716-011580-162 8" Wafer Clamp 716-11580-162 New
      Lam Research 716-011764-181 8" Dome Wafer Clamp Plate 716-11764-181 New
      Lam Research 716-051054-001 Quartz Shield Section Top Plate New
      Lam Research 716-020904-018 Lower Wafer Clamp Edge Focus Ring New
      Lam Research 716-330760-002 8" MF ESC Shadow MOD Ring New
      KLA-Tencor 710-615775-000 Ramp Generator Mother Board eS20XP E-Beam Used Working
      Hitachi M-05A2LS-400K RF Matching Box M-712E Shallow Trench Etcher Used Working
      Lam Research 716-249040-001 150mm ESC Focus Ring New
      Lam Research 716-330741-002 6" ESC OUT Focus Ring New
      Lam Research 716-011750-289 8" Quartz Notch Insert Ring New
      Lam Research 713-028281-003 Confinement Ring New
      Lam Research 716-028545-152 5" 90 Degree Collimator Insert Ring New
      Lam Research 715-011531-014 Anodized Aluminum Electrode New
      Lam Research 716-011764-281 8" Wafer Clamp Plate New
      Lam Research 713-011650-004 Combo Focus/Sleeve Ring New
      Lam Research 716-018527-404 8" .035 Dome Plate Wafer Clamp New
      Lam Research 716-330167-181 8" ACTR Bottom Clamp Ring New
      Lam Research 716-330890-001 8" Reactor Chamber CNTMNT New
      Lam Research 716-330121-014 8" FG Ceramic RF WDO Plate New
      Lam Research 716-028033-001 Elect Cap Process II EIP INSUL 816-28033-001 New
      Lam Research 716-011638-004 Thin Edge Focus Ring 716-11638-004 New
      Hine Design 860 Vacuum Arm Assembly with End Effector GaSonics A-2000LL Used
      AMAT Applied Materials 9090-00846 Beamline Power Distribution Unit Rev. E Used
      AMAT Applied Materials 9090-00846 Beamline Power Distribution Unit Rev. B Used
      Digital Dynamics 27-810157-00 I/O Controller V3.02 Novellus Concept II Used
      Tiger-Vac CD-1500 CR PFB Industrial Vacuum Used Working
      AJS Controls System-F-3041 ECU Transition PCB Board 879-8071 Used Working
      AMAT Applied Materials 0040-84444 Gripper Claw 300mm 0040-80144 Used Working
      AMAT Applied Materials 0040-84443 Gripper Claw 300mm 0040-80142 0040-03857 Used
      AJS Controls CLN-SYS-BR550-A ECU Transition System PCB 879-8071-002-E Used
      Banner Engineering MGR4816A Light Curtain Receiver MGE4816A Emitter Beam Array
      305W Ebara REM01 PWM-20M Turbomolecular Pump Controller Used Working
      Lambda EWS100-5 DC Power Supply lot of 15 tested working
      AMAT Applied Materials 0020-31147 Base Insert 150/200mm Flat HLZ-530-024 New
      AMAT Applied Materials 0010-09574 Pedestal 125MM Flat New
      AMAT Applied Materials 9090-00482 Power Distribution Box Quantum Leap III Used
      AMAT Applied Materials 0090-91694 ITL Controller XR80 Implanter Used Working
      TEL Tokyo Electron 1D81-000099-C4 MAIO Control Card TYB111-1/MAIO Used Working 
      Thermo Neslab HX-750 Recirculating Chiller HX750 Tested Not Working As-Is
      Nikon 4S008-086 Relay Interface Board PCB AF-MPXX4A NSR-S204B System Used 
      Asahi 752NK-T2 ERG-Box 4K197-784 Nikon NSR-S307E 300mm DUV Used
      Nikon 4S013-212-2 Interface Control Board PCB WL3SLDR System Used Working
      CTI Cryogenics 8113192G001 Cryopump On-Board P300 0190-27350 Not Tested As-Is
      Asahi 4K191-817-1 ERG-Box 752NK-T2 Nikon NSR-S205C Used Working
      JEOL EM-CP10 Air Compressor TEM Transmission Electron Microscopy JEM-2010F Used
      Nikon 4S014-182 Interface Board PCB AF-I/FX4A NSR-S204B Scanning System Used 
      Asahi 752NK-T1 ERG-Box 4K191-818 Nikon NSR-S307E 300mm DUV Used
      QM Soft VEO-22EF Servo Drive MITY Servo VEO-22 Used Working
       TEL Tokyo Electron AP9Z-1700B Interface Board PCB IO PIR #01 TKB7110 Used
      SMC INR-244-218W Chiller Controller Module 6TP-1B799 THERMO-CON Used Working
       Asahi 752NK-T1 ERG-Box 4K191-818 AV1LFERG NSR-S205C System Used Working
      TEL Tokyo Electron MPC-T0059A-11 Interface Board PCB IO MTR #03 TOB1059 Used
      TAZMO Temperature Controller Module RKC REX-C 2000 RKC REX-C 2000 Used Working
      Schlumberger Technologies 799000120 STSOM Rev. 3 PCB Card 499000120 Used Working
      Hitachi Wafer Alignment Unit Vacuum Chuck M-712E Shallow Trench Etcher Used
      MRC Materials Research A114570 Cassette A Stepper Elevator Eclipse Star Used
      MRC Materials Research A114570 Cassette B Stepper Elevator Eclipse Star Used
      TAZMO AC Servo Unit Module Yaskawa CACR-PR01KA4BU Semix TR6132U 150mm SOG Used
      MRC Materials Research A114569 Indexer Eclipse Star 200mm Used Working
      MRC Materials Research A114569 Index Assembly Rev. A Eclipse Star Used Working
      MRC Materials Research A117198 Power Module D117199 Rev. B Eclipse Star Used
      Synergy Microsystems 0190-09667 SV21 PCB Card AMAT Precision 5000 Used Working
      AMAT Applied Materials 9090-00923 Processor Vacuum Control Rev. A Quantum X Used
      OAI 0320-010-01 Exposure Analyzer 320 Panel Board Used Working
      TEL Tokyo Electron 2985-469941-W1 Process Block Robotics Arm X-Axis ACT12 As-Is
      Lam Research 852-017350-003-3-C508 Gap Drive Control Assembly 4420 Etcher Used
      Brooks Automation 001-8185-06 Control Module Genus 7000 As-Is
      Nikon 200mm Wafer Handler Assembly OPTISTATION 3 Inspection System Used Working
      TEL Tokyo Electron 2985-403941-W1 Rinse Resist Arm Assembly Right ACT12 Used
      TEL Tokyo Electron 2985-461034-W1 Cup Base Assembly 2985-461032-W1 ACT12 Used
      Nikon 4S001-093 Power Supply Card PCB PW-NK NSR-S205C Used Working
      Win Systems 400-0245-000C Interface Board PCB Card LPM/MCMCOM4A ELS 6400 Used 
      Nikon 4S018-769 Driver Board PCB NA-DRVX4 H=20.9mm Used Working
      Nikon 4S018-928 Circuit Board PCB COM-CTRL NSR-S205C Used Working
      Nikon 4S019-061 Interface Control Card PCB AVDRVX4VE Used Working
       Nikon 4S013-570 Interface Control Card PCB MIS-PACONX4S NSR-S205C Used Working
      Yaskawa XU-DL1510 Linear Drive Unit 4S064-340 Nikon NSR-S204B Used Working
      Yaskawa 4S064-340-1 Linear Drive Unit XU-DL1510 Nikon NSR-S204B Used Working
       Digital Dynamics VECTOR HDSIOC 0 Controller Novellus 02-252395-00 Used Working
      Nikon Pre-Align Wafer Transfer Module Nikon NSR System Used As-Is
       Koganel CR1131W-D 200mm Wafer Handling Robot Alpha Series TEL Mark-8 Used
      Nikon 4S018-775-1 Circuit Board PCB X_I/F Nikon NSR-205C Used Working
      Nikon 4S015-164 Processor Control Board PCB NK-C441-1 Used Working
      AMAT 9090-01168ITL Electrostatic Chuck DC Power Supply PX32J Rev. A Used Working
      Kimball Physics EGPS-1106A Electron Gun Power Supply KLA-Tencor eS20XP Used
      TEL Tokyo Electron 5087-400475-16 Left Arm DEV ASSY Lithius Used Working
      Asyst 04630-004 Load Elevator Indexer Hine GaSonics 94-1174 Aura 2000-LL Used
      Asyst 04630-003 Load Elevator Indexer Hine GaSonics 94-1175 Aura 2000-LL Used
      TEL Tokyo Electron 5087-400476-16 Right Arm DEV ASSY Lithius Used Working
      Tencor 284718 Analog AIT PCB Board KLA-Tencor AIT I Surfscan Used Working
      MRC Materials Research A114569 Stepper Motor Assembly Eclipse Star Used Working
      MRC Materials Research A117198 Power Module Rev. K Eclipse Star Used Working
      Carl Zeiss 45 27 35 Axiospeed Diode Array Spectrometer Microscope Head Used
      Nidek IM-140 Microscope Wafer Autoloader Used As-Is
      TEL Tokyo Electron 3D81-000102-V1 PCB TYB16C-1/TOP1 3D08-000063-11 Used
      Daihen AMN-30F-V RF Auto Matcher 3D80-000142-V8 60 MHz 3kW TEL T-3044SS Used
      Computer Recognition Systems TRK2/5 VME Control Rack Bio-Rad Quaestor Q5 Used
      SMC INR-244-218E Chiller Controller INR-244-244T-670 THERMO-CON Used Working
      Parker Compumotor TS42B-DKNPS 1.8° Step Motor Used Working
      Computer Recognition Systems TRK2/3 VME Control Rack Bio-Rad Quaestor Q5 Used
      CTI-Cryogenics 0190-12085 P300 Cryopump On-Board 8113162G001 
      Lasertec C-101033 POS AF PCB Chassis Lasertec MD2500 Used Working
      Galil Motion Control DMC 1540-72 Motion Controller Hitachi I-900SRT Used Working
      Seren 9600730004 RF Power Supply Lightwind R101 Used Tested Working
      Seren 9600730005 RF Power Supply Lightwind R101 Used Tested Working
      VAT 12148-PA24-AFG1 Pneumatic Gate Valve Used Working
      Hitachi M-05A2LS-400K Vpp RF Matching Box M-511E Plasma Etcher Used Working
      TMP Shimadzu EI-203MD Turbomolecular Pump Controller Used Tested Working
      Digital Dynamics 27-10157-00N I/O Controller IOC V4.1 Concept II Altus Used 
      Motorola 97911132 Status Signal PCB Card MVME 340B Used Working
      Hinds Instruments 030-2001-050 Dual PEM Control Board ASML 4022.436.87411 Used
      ASML 4022.437.2993 8-Channel Fiber Optics Board PCB Used Working
      TEL Tokyo Electron 2985-406656-W1 DEV SCAN (12R) ASSY Right ACT12 Used Working
      Nikon 09AAA790 Linear Scale Assembly Mitutoyo ST320 NSR-S204B Used Working
      TEL Tokyo Electron 2985-406653-W3 Right Nozzle Arm Assembly ACT12 Used Working
      KLA-Tencor 0052412-001 GPIO In/Out PCB Card 0052413-001 Used Working
      TEL Tokyo Electron 2910-205326-11 Under Cup Base Upper Cup Base ACT12 Used
      Nikon S-EX3L3403 Optical Assembly S-2A5801 NSR-S204B Used Working
      TEL Tokyo Electron Left Develop Cup Assembly ACT12 300mm Used Working
      CTI-Cryogenics 8116250G001 On-Board P300 Cryopump 8113160G001 Untested As-Is
      Metron 215-17799-00 REAC HD Assembly Used Working
      305W-A Ebara REM01 PWM20M Turbomolecular Pump Controller Used Tested As-Is
      Shimadzu EI-D3603M Turbomolecular Pump Controller AMAT 0010-32353 Used Working 
      Hitachi 2-829605-02 Lower Sleeve UHF New
      Nikon 4S007-900-1K Interface Board PCB IU-X2A NSR-S204B Step-and-Repeat Used 
      Nikon 4S007-770-D Interface Board PCB LC-PRE2 NSR-S204B Step-and-Repeat Used
      VWR SB90M5 Benchtop Multiparameter Research Meter sympHony 11388-342 New Surplus
      FEI Company 4022 192 9350 Power Supply PCB Card SEM EDCU CLM-3D Used Working
      Pearl Kogyo RP-300-2MX-C RF Matching Unit Used Working
      Komatsu 20001940 Controller MCU-04TM TEL Lithius Interface Block IRAM Used
      Nikon 4S015-173-C Processor Control Board PCB NSR-S204B Step-and-Repeat Used 
      Nikon 4S007-865-C Process Control Board PCB SR8-XY2 A-552 NSR-S204B Used
      Nikon 4S007-770-D Interface Board PCB LC-PRES2A NSR-S204B Step-and-Repeat Used
      Nikon 4S007-770-D Interface Board PCB LC-PRES2B NSR-S204B Step-and-Repeat Used
       Motorola 01-W3866B54B SBC Single Board Computer Card MVME 162-262 Used Working
      Lambda CSF250NM 5/12 5/12E Power Supply Sirius H70023 Used Working
      Pearl Kogyo ZDK-916E RF Power Generator Tuner Controller Used Working
      Nikon 4S018-567-1 Control Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used 
      Applied Ceramics 91-00740A 200mm Quartz Insulator Simple New Surplus
      Nikon 4S015-173-C Processor PCB Card NK-C304-40 4S015-173-? NSR-S205C Used
      FEI Company 18572-H AVA Controller Module 18098 Used Working
      Nikon 4S014-160-1 Linear Pulsmotor Controller Board PCB D2810A NSR-S204B Used 
      Nikon 4B028-878 Pneumatic Control Box SP1178W NSR-S204B Step-and-Repeat Used 
      Nikon HFE S58 Power Supply Module MCC-847-03 NSR-S307E Used Working
      Nikon 4S007-876 Interface Control Board PCB SHRINC-INTF-3 NSR-S204B System Used
      Mitra 9415 031 68301 Power Supply PE3168/30 FEI Company 4022 192 57342 Used
      Nikon 4S014-142-1 Control Board PCB RL-CTRL2 NSR-S204B Step-and-Repeat Used
      Nikon 4S018-650 Interface Board PCB RTEXX3 NSR-S204B Step-and-Repeat Used 
      ATP Alcatel ATP 100 Turbomolecular Vacuum Pump ISO100 Used Working
       Nikon 4S007-900-1G Interface Board PCB IU-X2A NSR-S204B Step-and-Repeat Used 
      KLA-Tencor 0039978-000 M2/M3 Servo Controller Box Assembly AIT II Used Working
      Opal 50312570000 PCB CVC Board AMAT SemVision cX 300mm Used Working
      Brooks Automation 002-7326-07 ATR7 Robot Drive Arm Copper Exposed Used Working
      Opal 50312510000 PCB HDF Board 30612505000 AMAT SemVision cX 300mm Used Working
      AE Advanced Energy 27-256558-00 RF Match Mercury 10013 3150273-004 Damaged As-Is
      TPU 330 Pfeiffer Balzers PM P01 431 H175 Turbomolecular Pump Untested As-Is
      ET300W Ebara Turbomolecular Vacuum Pump Turbo Type 1 Used Working
      Leica 036-085.021 Microscope Objective Assembly WF710-34711-DD Orbot WF 736 Used
      KLA-Tencor 0071149-001 UV Preamplifier Optical Assembly KLA AIT UV+ Used As-Is
      Spectra-Physics 2025-60 UV Laser Head Model 2020 AMAT 8026-0177-000 Used Working
      Total Control QPH2D100L2P Handheld Operator Interface Pendant Used Working
      Asyst 9700-6209-01 Power Distribution Center with AC/DC Switch Epsilon 3000 Used
      Lam Research 02-376092-00 PED Assembly Machined Finish C3VCTR Used Working
      Seren Industrial Power Systems IPS R600 RF Generator 600W As-Is
      PRI Stocker Carriage Arm Assembly BM17615 AD17615 Used Working
      RIGG Engineering Group 001052 RIGG 2214 SDP Video Grabber Board AIT I Used
      KV Automation 4022.486.23731 Gas Supply Module ASML Used Working
      KV Automation 4022.486.21591 Robot Gripper Manifold ASML Used Working
      Oramir Elmo 200mm Wafer Stage and PSSF-20/100H Driver Assembly Used Working
      RF5S RFPP RF Power 82180.09755.010 RF Generator 7510313011 Used Tested Working
      JEOL MP002846 (01) DEF REF PB PCB Card KI JEM-2010F TEM Used Working
      FEI Company 150-002270 FIB-PROC MOD-NETWORK VACCON PCB Board CLM-3D Used
      Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S204B Step-and-Repeat Used
      Oxford Instruments 51-1100-104 Inca Mics Module JEOL JEM-2010F Used Working
      Komatsu KDP1320LE-1 Display Panel Nikon 7821-40-3016 NSR-S204B Used Working
      JEOL MP002848(01) ALIGN AMP PB PCB Card KI JEM-2010F TEM Used Working
      Nikon 4S001-060 Power Control Board PCB PW-NA NSR-S204B Step-and-Repeat Used
      JEOL IPC20 Gun SIP Power Supply JEM-2010F TEM Microscopy System Used Working
      KLA-Tencor 0040615-002 LCD ROW COL Driver Right Lens Rev. AC AIT Fusion UV Used
      Nikon WL Slider Ceramic Arms NSR-S204B Step-and-Repeat Non-Copper Used Working
      DUX ADP-506-22 SBC Single Board Computer PCB Card 2001-506DA ADP-600-01 Used
      Oxford Instruments 51-1100-103 Inca X-Stream Module JEOL JEM-2010F Used Working
      Nikon 4S018-793-? Input Output Processor Board PCB PRE2 I/O-4 Used Working
      JEOL MP002847(01) STIG AMP PB PCB Card KI JEM-2010F TEM Used Working
      Nikon 4S018-354 Processor Board AIRCTRL3 PCB Card NSR-S202A Scanner Used
      Nikon 4S001-086 Processor Board PCB PW-NK NSR-S204B Step-and-Repeat Used Working
      JEOL EM-24015BU Power Supply Assembly JEM-2010F TEM Used Working
      Denso 593682-2020 Servo Driver PZTDriver Nikon 4S587-634 Used Working
      TURBO.DRIVE 300 Oerlikon Leybold 800072V0001 Frequency Converter TD300 Used
      Denso 593682-2010 Servo Driver PZTDriver 4S587-076 Nikon NSR-S205C Used
      Nikon IU-MON Optical Assembly NSR-S204B Beam Matching Unit Untested As-Is
      Nikon IU-MON Optical Assembly NSR-S205C Beam Matching Unit Untested As-Is
      Denso 593682-2030 Servo Drive PZTDriver Nikon 4S587-754 NSR-S205C Used Working
      Yaskawa Electric XU-DL1600W30 Driver PCB Nikon 4S014-165 Rev. C 4S014-166-1 Used
      AG Associates 7200-0520-04 Wafer Carrier Assembly 4100s 200mm Heatpulse Used
      Kyoto Denkiki KDS-30350SF High Voltage Power Supply Hitachi MU-712E Used As-Is
      MW-5D ENI MW-5D-01B Automatic RF Matching Network Used Working
      Yaskawa Electric XU-DL1600W30 Driver PCB Nikon 4S014-165 Rev. E 4S014-166-1 Used
      Nikon Pneumatic Control Box Wafer Loader Nikon NSR-S204B Used Working
      Fujitsu FAS-360/14NP2 Amplifier 4T070-410 Nikon NSR-Series Used Working
      Donaldson P199595REFILL Lithoguard BSM Max Filter Refill Cleanroom New
      Taiyo Machinery MP-300-R2 Right Mapping Unit TEL 5087-403663-11 Lithius Used
      TEL Tokyo Electron 5080-192750-12 X-Axis Upper IRAM XY-HS0026-802 Lithius Used
      A-B Allen-Bradley 61-0255-40 Processor Module PLC-5/V30B VME-5/30 Used Working
      Advanet AGPCi8012 CompactPCI Single Board Computer PCB Card TEL Lithius Used
      A-B Allen-Bradley 61-0255-32 Processor Module PLC-5/V30B VME-5/30 Used Working
      Edwards QDP80/QDP40/QDP40-QMB250 Lam Research Electrical Box Used Working
      TEL Tokyo Electron 2985-404116-W3 Side Rinse Arm Assembly ACT12 300mm Used
      Novellus 02-304871-00 RF Match Assembly Aluminium SST-PEEK 1.12 Untested As-Is
      TEL Tokyo Electron 2985-427378-W1 Right Tube Holder Assembly ACT12 200mm As-Is
      TEL Tokyo Electron 2985-404117-W3 Side Rinse Arm Assembly ACT12 300mm Used
      Nikon Lens Module C Used Working
      Novellus Systems FLVG CYL Replace Upgrade Kit 093033-797-21 Used Working
      TDK 4S061-925 DC Power Supply Used working
      AMAT Applied Materials 0242-41577 Shutter Kit 300mm New
      TMP Shimadzu EI-3203MD Turbomolecular Pump Controller 1.8K Damaged Ports As-Is
      TEL Tokyo Electron 1D81-000099-A6 MAIO Control Card TYB111-1/MAIO Used Working 
      TEL Tokyo Electron 1D08-000098-12 PCB Board Unity II Used Working
      VAT 87907-R1 Adaptive Pressure Controller PCB 610PB-26NM-0002 TEL Unity II Used
      TEL Tokyo Electron TVB211-11/GAS PCB Board 1D81-0000-0096AA Unity II Used
      Shimadzu EI-3203MD Turbomolecular Pump Controller 2.0K Temperature Alarm As-Is
      TEL Tokyo Electron Flow Pressure Gauge Panel ACT12 Used Working
      Nikon 24098-0161 Handler Assembly KAB11240 OPTISTATION 7 Used Working
      AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New
      V-Tex 06-014 Rollcam Slit Valve 200803-25-0013-02 New Surplus
      Metron 16-193623-01 SUSCEPTOR 150MM 1.2MM THICK SUBSTRATE
      Motorola 01-W3964B42C SBC Single Board Computer PCB Card MVME 147-013 Ultratech
      TEL Tokyo Electron B31810-121989-11 PLATE  COOLING UPPER
      Daifuku VME-5620D Processor VMEbus PCB Card MEM-5692A Used Working
      Oerlikon Leybold D65 B Rotary Valve Vacuum Pump TRIVAC D65B Not Working As-Is
      Matrox 7116-01 Odyssey XPRO Vision Processor PCB Card Used Working
      TDK TAS-MAIN Rev.4.30B Circuit Board TAS-CPU Rev.2.10 TAS-300 F1 Used Working
      CKD VEC-SHA8G-X0303 Pressure Control Valve System VEC-VH8G-X0305-2 Used Working
      RECIF IDLW8 200mm Optical Character ID Reader Wafer Sorter Used Working
      Digital Dynamics 27-10157-00 I/O Controller IOC V4.00 Used Working
      TEL Tokyo Electron MPC-T0048A-11 IO MTR #02 TOB1048 HA-016 Lithius Used Working
      RECIF IDLW8 200mm Optical Character ID Reader Wafer Sorter Used Tested Working
      Komatsu 20001920 Controller MCU-02TM TEL Lithius Interface Block IRAM Used
      PRI Automation BM22462L04 Horizontal Transfer Frame Lot of 3 Missing Parts As-Is
      Lambda LDS-P-15 DC Regulated Power Supply Reseller Lot of 10 Used Working
      SOC Showa Optronics Company GLG5415A HeNe Laser Head 20nW 632.8nm Used Working
      Digital Dynamics 27-10157-00 I/O Controller IOC V3.02 Used Working
      NSK XY-HRS030ZM105 CRA Z Axis Track TEL Tokyo Electron 2987-455716-W1 ACT12 Used
      TEL Tokyo Electron ACT12 Stepper Interface Stage Assembly Stand Used Working
      TEL Tokyo Electron 383 ADH Pin Stand Assembly 2985-403464-W1 ACT12 200mm As-Is
      Hitachi STAGE CONT UNIT Power Supply Relay Assembly S-9300 CD SEM Used Working
      Accurate Gas Control Systems AGT354D-1 Chiller Used Tested Working
      NSK XY-HRS055ZM123 IRA Z Axis Track TEL Tokyo Electron 2987-416028-W4 ACT12 Used
      Progressive Technologies 12612G01 Exhaust Blower Sentry 1000 TEL ACT12 Used
      TEL Tokyo Electron 2985-438909-W1 Develop Cup Assembly ACT12 200mm Used Working
      TEL Tokyo Electron 1D81-000009219 COM Control PCB Card TYB121-1/COM Used Working
      TEL Tokyo Electron 1D81-000009218 COM Control PCB Card TYB121-1/COM Used Working
      AMAT Applied Materials 0270-03850 300mm Top Cover Ship Assembly New
      MRC Materials Research A117198 Power Module D117199 Rev. J Eclipse Star Used
      Nikon 4S013-362 Circuit Board PCB BLI/FX4 Used Working
      Toshiba VT130E3U4270 E3 Variable Torque Speed Drive Assembly Used Working
      Nikon K-A86073 Lens Assembly NSR Used Working
      Novellus Systems 02-318371-00 Over Ash Pedestal Assembly Rev. B Used Working
      Hine Design 200mm Chamber Robot Assembly Gasonics Aura A-2000LL Used Working
      Schlumberger Control Board 97924121 Rev. D Used Working
      Gasonics 90-2607 Controller Board PCB Rev. F Used Working
      Denso 593682-2010 Servo Driver PZTDriver Nikon 4S587-076 NSR Used Working
      Nikon Z-A86972C Aligner Track NSR-S306C Used Working
      AMAT Applied Materials 0240-47946 300mm Target Locking Device Retrofit Kit New
      MECS OF101 Robot Controller Used Working
      TEL Tokyo Electron 3D81-000071-VI PF-DB LM CONT PCB Board 3D80-000701-V1 Used
      ASML 4022.471.4563 Amplifier Board PCB Used Working
      AJS Controls CLN-SYS-BR550-E System PCB Board 879-8071-002-E Used Working
      Nikon 2S700-580-1 Processor Board SCPU PCB Card 2S014-033-4 OPTISTATION 3 Used
      Foxboro Miran 1BX Ambient Air Analyzer Untested As-Is
      Cambridge Fluid Systems 0010-00888 Gas Panel Working
      MRC Materials Research Corporation 200mm Hard Etch Bump Kit Refurbished
      Yaskawa Electric CIMR-7AA20P4 Inverter Drive VS Mini J7 Used Working
       NTRON C7-01-5124-00-0 O2 Analyzer 5124B-N1 Rev. M Nikon NSR-S205C Used Working
      AMAT Applied Materials 0200-02000 Ring, Single Low Profile 200mm New
      Nikon 4S007-785 Relay Interface Board PCB AF-IF8 NSR-S202A Used Working
      Parker GV6K-U12E Servo Drive Controller Gemini GV6K Used Working
      EIZO 0FTD0659 19" Color LCD Monitor FlexScan L768 NSR-S307E Used Working
      Lam Research 02-287782-00 PED Assembly Machined Finish Copper Cu Exposed Used
      Nikon 4S013-370 Backplane Interface Board PCB STGSTCAV1AV2X4 NSR-S204B Used
      HP Compaq dx2200 Desktop Nordiko 9606 Control Computer System 7478 Used Working
      TEL Tokyo Electron 5087-403489-15 Scan Arm-B DEV ASSY Lithius Used Working
      Nikon 4-Axis Focus Controller Factory Interface NSR-S202A Step-and-Repeat Used
      Brooks Automation 002-9010-20 Load Arm Elevator Assembly Used
      Tosoh SET 805-566-QC-F Jar, Bell w/Mesh Coat T080H Refurbished
      Nikon 4S007-760-1 Interface Control Board PCB STG81 NSR-S202A Used Working
      Ziatech ZT-8907 SBC Single Board Computer PCB Card Used Working
      Yaskawa Electric SGDM-A3ADA-R Servo Driver SERVOPACK Ver. 0900F Used Working
      Faulhaber 1841E002S Minimotor SA Assembly Nikon NSR-S204B Used Working
      Nikon 4S013-395 Backplane Interface Board PCB STGSTCWYWXX4A NSR-S204B Used
      AMAT Applied Materials 0020-10378 DPS Poly Focus Ring Refurbished
      TEL Tokyo Electron HTE-OA1-B-11 Oven Add On Board #01 PCB TAB2101 ACT12-300 Used
      NTRON C7-01-1110-02-0 O2 Analyzer 1110BE-N1 Nikon NSR Used Working
      Yaskawa Electric CIMR-V7AA21P5 Inverter Drive VS Mini V7 Nikon NSR System Used
      Omron 3G3MV-A2002 Inverter Drive SYSDRIVE 3G3MV 3G3MV-PDRT2 Used Working
      Nikon 4S018-870-B Backplane Interface Board PCB ALGMTHX42 NSR-S307E Used Working
      AMAT Applied Materials 04080-00001 8" COHERENT TI CHAMBER KIT New
      Nikon 4S007-795 Interface Control Board PCB XB-STGA/D NSR-S202A Used
      Kollmorgen 00D09D02001-1M Servo Motor with Gearhead PG60-005 Nordiko 9550 Used
      Nixsys NX81 Desktop PC GFX II Control Computer System Nordiko 9550 Used Working
      Nikon 4S587-604 WYL Switch Box 1 WYL-SWB1 NSR System Used Working
      ASM 91210-35118 High Speed Switching Manifold Valve KITZ SCT New Surplus
      TRIVAC D16B Leybold 898 199 Rotary Vane Vacuum Pump Used Tested Working
      TEL Tokyo Electron HTE-OV1-B-11 Oven I/O Board #01 PCB TAB2100 ACT12-300 Used
      Powerspec Electronics 02-00407-01 Power Supply Module Electroglas PSMD Used
      Yaskawa Electric CIMR-J7AA20P7 Inverter Drive VS Mini J7 Used Working
      NTRON C7-01-5124-00-0 O2 Analyzer 5124B-N1 Rev. L Nikon NSR-S205C Used Working
       Yaskawa Electric CIMR-XCAA20P4 Inverter Drive VS mini Used Working
      MARTEQ PROCESS SOLUTIONS INC 3403872C COIL INLINE COOL TEF PFA PVDF
      Sony 1-689-899-11 Laserscale Power Supply Board PCB Nikon NSR-S306C Used Working
      HP Hewlett-Packard 500B MT Desktop PC GFX II Computer System Nordiko 9550 Used
      NTRON C7-01-5124-00-0 O2 Analyzer 5124B-N1 Rev. N Nikon NSR-S205C Used Working
      TEL Tokyo Electron CPC-G223B01B-13 Spinner I/O Board PCB TKB2111 ACT12-300 Used
      TEL Tokyo Electron 1D10-313620-11 Upper Clamp (ME) New Surplus
      HP Compaq dx2300 Desktop Nordiko 9606 S09 Control Computer System 7478 Used
      Nikon 4S065-531 Control Module LZR-OPT-2 NSR-S620D ArF Immersion Used Working
      Nikon 4S008-115-A Relay Board PCB ALGAF-P/D-X4+ NSR Used Working
      Nikon 4S018-767 Interface Control Board PCB L.TEMPX4 Used Working
      Motorola 01-W3839F25A MVME 2431 Processor PCB Card ASML 4022.470.6469 Used
      Nikon 4S018-922-B Control Board PCB IU-DRV1-X4P NSR-S307E Used Working
      Nikon 4S014-178 Linear Pulsemotor Control Card PCB ULYCNT NSR-S307E DUV Used
      Nikon 4S008-114 Processor Board PCB ALGAF-P/A-X4+ Used Working
      Nikon LANRCSLIFX4 Interface Board PCB FarmVer. 1.01 NSR-S307E DUV 300mm Used 
      Nikon 4S019-461 Processor Card PCB BSA-OTH NSR Series Used Working
      Nikon 4S015-316 CPU Control PCB Card ACP-112(Slave) NSR-S307E Used Working
      Precise Sensors 4861-65-GA-RM Transducer 3241-65-GA-B4-C6749 Lot of 13 Used
      Thermo Noran 170A141781 MADC BD 512-068800 700P135927 AMAT SemVision cX Used
      Thermo Noran 170A141759-D ADSP BD 700P135927 Rev. I AMAT SemVision cX Used
      Motorola 01-W3508F Circuit Board PCB MVME 147-010A 700P135927 Rev. E AMAT Used
      IDI 202G-COM Integrated Dispense System Photoresist IDS Controller 2-Card Used
      TEL Tokyo Electron Robot Controller TEL T-3044SS Used Working
      Thermo Noran 170A141759-D ADSP BD 700P135927 Rev. E AMAT SemVision cX Used
      Thermo Noran 170A141807 I/O/M BD 700P135927 AMAT SemVision cX Used Working
      IDI 202G-COM IDS Controller Photoresist 3-Card Alarm Fault Used Tested Working
      Thermo Noran 170A117357-E I/O BD 700P135927 Rev. E AMAT SemVision cX Used
      Motorola 01-W3508F Circuit Board PCB MVME 147-010A 700P135927 Rev. I AMAT Used
      IDI 202G-COM Integrated Dispense System Photoresist IDS Controller 3-Card Used
      IDI 202G-COM IDS Controller Photoresist 2-Card Faulty Alarm Used Tested Working
      AMAT Applied Materials Load Lock Internal Transfer Unit Assembly SemVision Used
      TEL Tokyo Electron Robot Controller T-3044SS Used Working
      Mitutoyo 378-802-6 Motorized Microscope Objective Navitar 1-51170 1-6015 Used
      IDI 201D-COM Integrated Dispense System Photoresist IDS Controller 2-Card Used
      Iwaki HPT-106-2 Photoresist Tubephragm Pump HPT-106 Lot of 3 For Repair As-Is
      Acrom 7041-64423-004-102 Desktop Computer AMAT 9090-00810 Used Tested Working
      Nikon 4S014-082-A Circuit Board PCB AM6PDCTL NSR Used Working
      Acrom 7041-64423-004-102 Desktop PC AMAT 9090-00810 Missing Panel Used Tested
      Kyoto Denkiki KDS-30350W Dual Output DC Power Supply Used Untested As-Is
      Novellus System 04-341742-00 Pedestal Kit Welded Flat Preheat 2130 used working 
      TMP Shimadzu EI-3203MD Turbomolecular Pump Controller 1.8K Motor Fault As-Is
      CTI-Cryogenics 0190-12086 P300 Cryopump On-Board 8113212G001 P300 AMAT 
      Nikon Z-4B1A-A1901 Transfer Station NSR-S307E Used Working
      Opal 50312460100 ETPS Assembly AMAT Applied Materials SEMVision cX Used Working
      CTI-Cryogenics 0190-27350 P300 Cryopump On-Board 8113192G001 P300 
      Daytronic 3530 Indicator Display SEM Vision CX Used Working
      Opal SRA3 Board PCB Card AMAT 30612530100 SEMVision cX Used Working
      Sun Microsystems 602-3146-01 SunFire V240 Server 4022.472.4712 Used Working
      Opal 50312350000 STC DR Assembly AMAT Applied Materials SEMVision cX Used
      TEL Tokyo Electron AP9E-0318C-12 PCB Assembly TAB1300 HTE-IFA-A-11 ACT12 Used
      Yaskawa BC930310 Servo Controller Module SGD-02AN TEL Unity 2 Used Working
      Yaskawa XU-DL1510 Linear Drive Unit 4S064-340 Nikon NSR System Used Working
      SVG Silicon Valley Group 99-43012-01 Shuttle Transfer Arm Used Working
      AMAT Applied Materials 0240-48741 300mm Legacy PMR Target Locking Kit New
      Nikon 2S700-534 Wafer Stage Module Used Working
      ASML 4022.471.6109 Circuit Board PCB Used Working
      HD Hokuto Denko HZ-3000 Automatic Polarization System working
      TEL Tokyo Electron 3D10-150035-V1 Cooling Plate AHV50 Copper Used Working
      Varian Semiconductor Equipment E11057020 ISPM Closed Loop Module E1000 Used
      Christie 1.45-1.8:1 0.94" SXGA+ Projector Lens MATRIX S+2K M Konica Minolta Used
      AMAT Applied Materials 0021-78095 Z1/(IT) ITV2001-31N3N4-X95 Copper Refurbished
      ESI 110M-PS Diode Pumped Laser System Power Supply Lightwave Used Working
      Faulhaber 1841E012S Minimotor SA 045307 075 Nikon NSR System Used Working
      Sansha 002-100095-01 DLP Projector Ballast SanRex Christie 03-900538-51P New
      Edwards 8317-212-00 PCB Used Working
      Siemens LMG3F800 Circuit Breaker 800A 600V LMG Series Used Working
      TEL Tokyo Electron 1810-121926-12 Upper Insulator 320 New
      Mitsubishi FX-128MR-ES/UL Programmable Controller PLC Nikon NSR-S202A Used
      VAT 65040-PA52-ACB2 Pendulum Control and Isolation Valve Copper Unassembled Used
      Agilent Z4208-68001-23-0645-00010 Controller VME PCB Card Z4208B NSR-S307E Used
      DNS Dainippon Screen CEPB-0014 Power Supply Module SC-W60A-AV Used Working
      Cognex 800-5829-1R A Fixed-Mount ID Reader Set In-Sight 5410R InfiniStix Used
      Opal 50312570000 Circuit Board CVC Board AMAT SemVision cX 300mm Used Working
      Opal MIS2 Board 30612550000 Circuit Board AMAT SemVision cX 300mm Used Working
      TEL Tokyo Electron E208-000021-11 Ghost Port PCB Card E2B401/UMC Lithius Used
      Thermo 8815A-SUS-SN CryoCooled EDX Sensor AMAT SemVision cX 300mm Used Working
      Opal 50312540200 Circuit Board DVD Board AMAT SemVision cX 300mm Used Working
      TEL Tokyo Electron Filter and Fitting Kit New
      Opal 50312575100 Circuit Board ETD Board AMAT SemVision cX 300mm Used Working
      Opal 30612510000 PCB HDF Board 30612515000 AMAT SemVision cX 300mm Used Working
      Taiyo Machinery MP-300-R2 Left Mapping Unit TEL 5087-403663-11 Lithius Used
      TEL Tokyo Electron 2985-404098-14 COT Cup (6) Assembly ACT12 Used Working
      AMAT Applied Materials 0100-00980 Gas and Oven Motherboard 0100-00981 XR80 Used
      Axcelis 572881 Module Control 300mm Fusion PS3 Used Untested As-Is
      GaSonics 90-2650 Controller Board PCB Rev. A Used Working
      DRYSTAR Edwards QDP40 Dry Vacuum Pump Used Tested As-Is
      Edwards Y12201027 Helios Combustion Chamber Head 500052685 Used Working
      Hitachi 545-5507 PCB Card SCAN CONT S-9300 Used Working
      Edwards Y12201000 Helios Combustion Chamber Head 500052685 Used Working
      RKC RCB-12 Power Supply Temperature Controller TEL 3D80-000090-V5 Used Working
      Edwards 500052685 Helios Combustion Chamber Head Used Working
      Oram LPS 850 DC Power Supply AMAT SEMVision cX Used Working
      Daikin Industries 3D80-00711-V2 Control Unit UBRP4C91IN Used Working
      Nihon Koshuha AMC-39D-4502A-00 450MHz RF Automatching Tuner Used Working
      TEL Tokyo Electron CPC-G227B01A-11 Oven I/O Board PCB 2981-600310-12 ACT12 Used
      VAT 87907-R1 Adaptive Pressure Controller Board  610PB-26NM-0004 Used
      Electroglas 200mm Prealigner Assembly 4085X Used Working
      Nikon 4S782-766 Stepper Controller Driver NSR-S204B Step-and-Repeat Used Working
      Motorola MVME 147-023 Single Board Computer PCB Card TEL 3286-000516-12 P-8 Used
      Nikon 4S018-707-2A Driver Board PCB PWM-DRV1 NSR Used Working
      DNS Dainippon Screen 630300367 LPD Module FC-3000 Wet Station New
      Hitachi DC Power Supply Unit 1 M-712E Etcher Used Working
      Galil Motion Control DMC-1580 Motion Controller Hitachi I-900SRT Used Working
      MRC Materials Research MR-22377 150mm Eclipse Star Wafer Carousel Assembly Used
      Nikon 4S013-395 Backplane Interface Board PCB STGSTCWYWXX4A NSR-S205C Used
      TEL Tokyo Electron DEV Cup Assembly ACT 8 New
      VAT 92548-PA21-AAK1 Pneumatic Pendulum Isolation Valve 98482-R1 Untested As-Is
      AMAT Applied Materials 515-004 Endura TiN Kit 0020-26289 0020-24530 New Surplus
      Nikon 4S001-062 Power Supply Card PCB DDP-024-A NSR-S202A System Used Working
      Nikon 4S018-148 Interface Relay Card PCB STIFMEM2 NSR-S202A Used Working
      Nikon 4S018-137-C Relay Card PCB ZTDRV8 NSR-S202A Used Working
      Kokusai Electric D2E01381A Interface PCB CNNT3 D2E01367 Used Working 
      MRC Materials Research D111611 150mm Eclipse Star Wafer Carousel Assembly Used
      Nikon 4S013-370 Backplane Interface Board PCB STGSTCAV1AV2X4 NSR-S205C Used
      Nikon 4S017-656-A Backplane Interface Board PCB S/G-MTHR NSR-S204B Used Working
      Tosoh SET-805-262KR-TG 8" HOT AL Kit Endura 5500 Refurbished
      TEL Tokyo Electron 1810-150010-11 Shield Box P2 G2L + Hi-Temp New
      Nikon 4S001-060 Power Supply Card PCB PW-NA NSR-S202A System Used Working
      Nikon 4S018-151 Relay Card PCB RSMDRV NSR-S202A Used Working
      Nikon 4S018-317 Relay Card PCB ADDRV1 NSR-S202A Used Working
      MRC Materials Research D122742 150mm Eclipse Star Wafer Carousel Assembly Used
      AMAT Applied Materials 0021-78095 Z1/(IT) ITV2001-312N4-DIQ00076 Cu Refurbished
      KoMiCo Bellows Cover A&B (DRM) Refurbished
      Tosoh 805-302-QC-62R-290 Ceramicoat Bell Jar PC-II Used Working
      TEL Tokyo Electron 1810-122006-11 G2L UEL Body New Surplus
      Nikon 4S018-152-D Relay Card PCB RSSDRV NSR-S202A Used Working
      TEL Tokyo Electron 1D81-000092-1D COM Control PCB Card TYB121-1/COM New
      MRC Materials Research D115506 150mm Eclipse Star Wafer Carousel Assembly Used
      TEL Tokyo Electron COT Cup Assembly ACT8 Clean Track New
      Fanuc A20B-2100-0021/07G AC Servo Mainboard PCB 420B-2901-0480/01A Used Working
      TEL Tokyo Electron 1810-122007-11 G2L Cooling Plate New Surplus
      Tosoh SET-805-462KR-AA Adapter Shield WB Tin Refurbished
      Foxboro K0143AA Processor Board PCB ASM 02-147684-02 New
      Nikon 4S018-149 Relay Card PCB SPIO NSR-S202A Used Working
      Motorola 01-W1530BO2F Processor PCB Card MVME 147-011 Lam 810-490009-001 Used
      TMH 071 P Pfeiffer PM P02 802 CA Turbomolecular Pump TC600 Not Working As-Is
      PTI Progressive Technologies 12612G01 Automated Exhaust System Sentry 1000 Used
      TCP 600 Pfeiffer PM C01 320 C Turbomolecular Pump Controller Used Tested Working
      KLA Instruments 710-658161-001 Image Sensor PCB 073-655201-00 2132 Used Working
      KLA Instruments 750-660136-00 Autofocus Collimating Lens Assembly 2132 Used
      Opal 70512527100 CVC Board PCB Card AMAT Applied Materials VeraSEM Used Working
      Edwards QDP80/QMB500/QDP40 Lam Research Electrical and Control Box Used Working
      Edwards Helios Head Assembly Combustion Chamber Used Working
      KLA-Tencor 710-611487-001 Image Computer DC PCB Card 073-611487-000 Used Working
      KLA Instruments 710-657231-20 NSC2 PCB Board 073-657230-00 2132 Used Working
      Opal 70512560000 CCS Board PCB Card AMAT Applied Materials VeraSEM Used Working
      Opal 70512565000 MI52 Board ACB Card AMAT Applied Materials VeraSEM Used Working
      KLA Instruments 710-657600-20 Autofocus Control PCB Card 2132 used Working
      Yaskawa DDMQF-SR2231 PAC/ELC2 System Robot Controller SRC-II 006 Used Working
      Opal 30612530100 SRA3 Board PCB Card AMAT Applied Materials VeraSEM Used Working
      ASML 4022.480.2578 Wafer Inspection Assembly AIP-230019-S 4022.480.2773 Used
      RKC Instruments RCB-12 PS Temperature Controller TEL 3D80-000090-V6 Used Working
      KLA Instruments 710-609086-002 STAQ2 PCB Card 073-609086-00 Used Working
      KLA Instruments 760-661136-00 Insulated Vertical Illuminator 2132 Used Working
      RT5000 Surfactant Pressurizing Unit with Transformer Untested As-Is
      ESC Chiller Frequency Invertor Hitachi M-712E Used Working
      TEL Tokyo Electron PS1 RF Pump Box 3D81-000096-V1 3D81-000097-V1 Used Working
      AdvancedTCA Amibios 786Q Server Blade Processor Card PCB Used Working
      Sanritz Automation SVME/AT-BCN/V PCB Card SVA603V-1-S TEL Unity II Used Working
      OneAC CDR36I-21KX400 Power Conditioner CDR36I Used Working
      HP Hewllet-Packard AD239A Advanced Blade Server Processor AdvancedTCA Used
      Alcatel 5150CP Turbomolecular Pump Turbo Used Tested Working
      Rorze RT107-1201-002 Robot Linear Track IKO TSL220-1200A/S20E558 Used Working
      Hitachi 560-5502 Processor PCB VME Card NOMAFC Hitachi S-9300 SEM Used Working
      AMAT Applied Materials 9090-00835 Power Distribution Box Quantum X Used Working
      Kokusai Electric CX9620 Block Controller Terminal Server Unit Used Working
      Hitachi 560-5506 EOCONT PCB Card S-9300 CD SEM Used Working
      Hitachi 560-5512 NMEM PCB Card IMSUB 568-5502 S-9300 CD SEM Used Working
      AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409 Quantum X Used
      Hitachi 564-5507 SGVA Board PCB Card S-9300 CD SEM Used Working
      Digital Dynamics 27-10157-00 I/O Controller IOC V4.1 Concept II Altus Used
      Digital Dynamics 27-810157-00 I/O Controller V4.1 Novellus Concept II Altus Used
      Novellus Systems 26-166313-00 Interface Gamma 03-166313-00 Used Working
      MRL ASM 2842995-01 Vertical Furnace Heater Element 46003002842955 New
      Kokusai CX1209P Vertical Diffusion Furnace Cassette Loader Panel working
      Advantest T2000 Digital Module with Fluorinert Decompression Bag BGD-030235 Used
      Tadin 815200000 TadiGuard Process & Machine Enhancer Type 006 MRC Eclipse Used
      AMAT Applied Materials 0010-09341 Wafer Lift Precision 5000 CVD Rev. N Used
      KLA-Tencor 315° Inspection Mirror Assembly AIT I Surfscan Incomplete As-Is
      AMAT 0010-76174 Dual Spring Loaded Throttle Valve 5000 CVD Rev. B Used Working
      KLA-Tencor 0052196-007 MMD Analog AIT UV Board PCB 0021488-001 AIT Fusion Used
      Asahi 752NK-T2 ERG-Box 4K191-817-1 Nikon NSR-S204B System Used Working
      Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working
      TEL Tokyo Electron Chemical Vessel Drawer Assembly Clean Track Lithius Used
      SVG Silicon Valley Group 99-43012-01 Wafer Shuttle Arm Robot 9003S 90S DUV Used
      Electroglas 200mm Wafer Handling Linear Transport 247012-001 4085X Used Working
      SVG Silicon Valley Group 99-43012-02 Wafer Shuttle Arm Robot 9003S 90S DUV Used
      Yamato Scientific AMD61 Constant Temperature Oven Hitec Used Tested Working
      Millipore ENC0MPH01 Encompass HV Fluid Dispense Pump Photoresist SVG 90S Used
      Hitachi 564-5525 Power Supply PCB SEM-DCPS Hitachi S-9380 SEM Used Working
       TEL Tokyo Electron 848 TCP Transition Chill Plate Station Left ACT12-300 As-Is
      Nikon 4S013-189 Lift Assembly LINK-RZ NSR-S240B Step and Repeat System Used
      Adept Technology 05215-010 Robot Teach Pendant T1 Pendant 10m Used Working
      Nikon 4S007-914 Transport Assembly RL-BCRHT NSR-S204B Step and Repeat Used
      Axcelis 572871 Module Power Distribution 300mm Fusion Used Working
      Novellus 02-418668-00 Ped Assy Hardware PNL Small Pkt C3ALT used sold as is
      Yaskawa DDMQF-SR2232IR RC/ELC3 System Robot Controller SRC-II 005 As-is
      TCM1601 Pfeiffer PM C01 675-B Turbomolecular Pump Controller Used Tested Working
      TEL Tokyo Electron 3D10-101197-V2 Ring Shield Depo 170 Float L Used Working
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J No Motors Used Working
      Electroglas 255901-001 200mm Wafer Prober Stage Electroglas 4085X Used Working
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G Copper Exposed No Motors
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. D No Motors Used Working
      Novellus 02-130617-00N C3 Vector Spindle Assembly Rev. D No Motors Used Working
      Novellus 02-130617-00N C3 Vector Spindle Assembly Rev. B No Motors Used Working
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F No Motors Used Working
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F Copper Exposed No Motors
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K No Motors Used Working
      Gasonics 90-2608 PCA Load Lock Interface Board PCB Rev B Used Working
      Nikon 4S013-315-STG-I/F2 X-Y Upper Stage 2B001-594-3 OPTISTATION 7 Used Working
      Ultra ECNZY96 57/334/38 Circuit Board PCB Card Used Working
      Marvel 2 Matrox PCB 521-0201 Rev C Circuit Board KLA-Tencor AIT I Used Working
      Nikon 4S014-077 Circuit Board PCB MTR-CTRL NSR Used Working
      Gasonics 90-2607 Display Decoder Board PCB Rev. A Used Working
      KLA-Tencor 780-689642-000 Flipper Autoloader Kit 2139 Inspection Tool New
      Hitachi I-900SRT Wafer Transfer Robot No End Effectors Used Working
      Hitachi Wafer Transfer Robot Assembly I-900SRT Used Working
      Opal 50312460000 ETPS Assembly AMAT Applied Materials SEMVision cX Used Working
      Hitachi BA-H500 H2 DC Power Supply I-900SRT Used Working
      Hitachi I-900SRT Lens Wheel Filter Assembly Oriental Motor PK544-NAC Used
      Matsusada Precision HJPZ-1B-HS-V2 High Voltage Power Supply Piezo Driver Used
      Hitachi I-900SRT Rotary Lens Assembly Oriental Motor Co PK544-NAC PK566BW Used
      Yokogawa SR1200A02 DD Servo Actuator -2SN*1C/96S2895 TEL ACT12 Used Working
      Morgan Advanced Ceramics 4002-3899-01 Robot End Effector Asyst AXYS Model 21 New
      CTI-Cryogenics 8043069G004 On-Board Frequency Converter Used Tested Working
      Ushio Control Unit HB-25103BY-C Control Unit Used Working
      Semiconductor Equipment 4460 Hot Shot Sweep Rework Station Missing Parts As-Is
      AMAT Applied Materials 0200-39140 Ceramic Metal DPS 200mm Ring Focus Refurbished
      Keithley Instruments 9162-122-04C I-Meter PCB Card 9162-PAU Used Working
      Leica Wetzlar 301-360.055 MA-MO Master Modul PCB Card 301-360.055-007/02 Used
      Novellus Systems 116437-R-C PEP 3510 Lower Chamber Body Refurbished
      Leica Wetzlar 301-360.070 LZAM PCB Card 301-360.070(-007)/03 Used Working
      Edwards NRD75100H Helios Head Assembly Combustion Chamber Used Working
      SVG Silicon Valley Group 99-80396-01 Nikon Interface PCB Assembly 90S DUV Used
      Keithley Instruments 9162-122-04B I-Meter PCB Card 9162-PAU 9162-312 Used
      CKD VEC-VH8G-X0307 Pressure Controller Valve System Used Working
      KLA-Tencor 716-402608-00 Prealigner Assembly 5107 Overlay Inspection System Used
      CKD VEC-VH8G-X0305-2 Pressure Controller Valve System Used Working
      MRC Materials Research A117198 Power Module A119126 Rev.C Eclipse Star Used
      Hitachi 560-5512 NMEM PCB Card IMSUB 560-5554 S-9300 CD SEM Used Working
      Horiba CS-15MF1-11-115A-QU-P Chemical Solution Monitor CS-100 Used Working
      Hitachi 568-5527 SEM-DCPS Power Supply Assembly Hitachi S-9300 SEM Used Working
      AMAT Applied Materials 9090-00846 Beamline Power Distribution Unit Used Working
      AMAT Applied Materials ATM-20 Dual Laser Operator Terminal Endura Centura Used
      KLA-Tencor MMD Housing & Fan Assembly 0032113-00 Rev.AB working 0032839-001
      KLA-Tencor 378372 SP1 CardG Power Distribution Rev. BB Used Working
      Semiquip LCAT200P-20001 200mm Cassette Alignment Tool Wafer AMAT Used Working
      Applied Ceramics 91-01698A 200mm E-Chuck Cover AMAT 0200-40112 New
      Nikon M-801905 Light Source Module NSR-S202A Used Working
      KLA-Tencor 720-14679-000 XP Objective Lens 0110155-000 Untested As-Is
      Glentek SMA8310-1 Servo Drive Amplifier Controller KLA-Tencor 0045454-000 Used
      Honeywell TSC2TWNBX-09154 Target, 49.5 Grade Tungsten +10% Titanium New
      HiTek Power A1030770 Power Supply OL10K AMAT 9090-00801ITL Untested As-Is
      Edwards 0010-00345 150mm Pedestal Assembly Anodized AMAT Refurbished
      LYTRON MCS MCS10603-M01 MODULAR COOLING SYSTEM NEW
      Bosch 1070917160 Servo PCB Module SM 3.5/8 G16 B48359-003 MOOG Used Working
      AMAT Applied Materials DN200CF Pneumatic Gate Valve 10" Conflat HVA VAT Used
      Bosch 1070917160-101 Servo PCB Module SM 3.5/8 G16 B48359-004 MOOG Used Working
      Semy Engineering 5010042 Gas Interface PCB Assembly MYP830400B SVG Mypro New
      KLA Instruments 710-658046-20 Rev. E0 Processor Board 2132 Used Working
      Bosch 1070917161-101 Servo Module SM-4.7/20-G16 B48674-003 MOOG Used Working
      TEL Tokyo Electron T-3044SS Circuit Breaker Module 3D93-M50268-V1 Used Working
      SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Wafer Chuck Hitachi M-712E Used
      Electroglas RMHM4 Controller Module 253643-001 4085X Horizon Used Working
      Nor-Cal Products 030923-1 Pneumatic Pendulum Gate Valve Used Working
      Nikon 4S013-363-BLECX4 Linear Scale Assembly Mitutoyo ST420 NSR Used Working
      ASML 4022.471.6555 Circuit Board PCB Used Working
      Nikon 4K191-928 NSR-S205C Pneumatic Control Box KBB18600-5 Used Working
      Particle Measuring Systems MiniNet 310 Mini-environment Monitor new
      Computer Recognition Systems TRK2/5 VME Control Rack Bio-Rad Used Working
      Semitool 300MM Heater Pedestal 70901-98 New
      KLA Instruments Processor Board 710-658046-20 Rev. E0 Used Working
      KLA Instruments 710-652840-20 RIF Assembly Board Used Working
      Nikon Z-4B1A-A1601 Cassette Elevator NSR-S307E working 4S013-467-CSTLNK3
      TEL Tokyo Electron 281-5000101 CPU-86 Board 208-500101-3 PCB Card Used Working
      Applied Ceramics 91-01721A Wall Cover AMAT 0200-40158 New
      KLA Instruments Defect Processor Board 710-658076-20 Rev. B0 Used Working
      KLA Instruments 710-658363-20 DF Board Assembly Rev. B0 Used Working
      KLA Instruments Memory Controller Board 710-658232-00 Rev. H1 Used Working
      KLA Instruments 710-659724-00 Defect Filter PCB Card Rev. C0 2132 Used Working
      Tosoh Endura 5500 Target 4029H-13-109-501 New
      TEL Tokyo Electron 208-500101-4 CPU-86 Board working
      Nikon Opistation 3 Microscope Assembly working
      AMAT Applied Materials 0090-91409 ITL Beamline Interlock Box XR80 Used Working
       Komatsu KDP1320LE-1 Control Panel Assembly 4S018-568-A Nikon NSR-S204B Used
      Nikon 4S064-631 IU-IOP2 Controller NSR Series Used Working
      Nikon 4S064-631-1 IU-IOP2 Controller NSR Series Used Working
      Hine Design 860 Vacuum Arm Assembly Missing Screws GaSonics A-2000LL Used 
      Therma Wave 30-135777 Grendel Node Computer Opti-Probe Used Working
      MRC Materials Research A112654 100mm Back Plane Heater Eclipse Star New
      AMAT Applied Materials KMC-HSMA-HE ENDURA 5500  8" ESC TYPE HOT ALUMINUM Kit
      Tektronix TDS 644B Color Four Channel Digital Real-Time Oscilloscope
      ASM 2909855-01 ASSY DOORPLATE ATM BEOL
      Oriental Motor UDX5103 Super Vexta 5 Phase Driver
      TRIPP LITE SU6000RT4U Smart Online UPS with Batteries
      SCHUMACHER 1491-7037R Transfill II Source Controller
      TEL Tokyo Electron 2985-400056-10 HP EXT, COVER ASSEMBLY
      ASM 1034-770-01 Enclosure Gas Panel Rear New 
      AMAT Applied Materials 0010-00135 60V Power Supply ASSY
      Nikon S2015-064-4 MSTBOARD Board PCB OPTISTATION 3 Used Working
      GE FANUC IC693CMM321-JJ CMM321 Ethernet Interface
      Fusion Semiconductor Systems 092381 UV Probe Meter M150 with Probe Used Working
      Whedco 78003981/9501 Intelligent Motor Controller IMC-4230-1-B IDS 10000 Used
      IAT Innovative Applied Technology 10680 Cylinder Lid New
      MKS Instruments 651CD2S2B Pressure Controller 600 Series Used Working
      ESI ES-2002 Nebulizer 100uL
      Edwards 633-5583-66 Shutter Disk AMAT 0021-17725 Centura Refurbished 
      Nikon 4S018-167 Interferometer Relay Card PCB ALGLIUIF2 NSR-S204B System Used 
      Nikon 4S018-214-1 Circuit Board PCB LM I/F NSR Used Working
      Nikon 4S008-187 Backplane Interface Board PCB AF-PSDX4B NSR System Used Working
      Nikon 4S008-187 Backplane Interface Board PCB NSR-S204B System Used Working
      Nikon 4S008-099 Power Amplifier Card MIS-POWAMP3 NSR-S204B System Used Working
      Nikon 4S008-187 Interface Control Board PCB AF-PSDX4B NSR-S205C Used Working
      TEL Tokyo Electron A116007 200mm Back Plane Wafer Heater with Flat MRC New
      Nikon 4S018-792-? Processor Board PRE2 I/O-2 PCB 4S018-792-D Used Working
      Nikon 4S019-198 Input Output Processor Board PCB PRE2 I/O-3B Used Working
      Nikon 4S082-748 Cognex Power Supply Module NSR-S204B Step-and-Repeat Used
      Nikon 4S018-869 Processor Board PRE2 I/O-1A PCB Used Working
      JAE KT000227 6-Axis Vibration Measurement Unit 4S586-613 Nikon NSR-S204B Used
      SVG ASML 879-8074-003-B Cap Gauge Assembly Used Working
      Nikon 4S013-365 Backplane Interface Board STGSTCSPX4 NSR-S307E Used Working
      Sankyo Seiki 5407001 4-Axis Pick & Place Robot SR5407 SCARA ART Applied As-Is
      ASM 04-337123-01 SMIF TAG READER ONE PAIR ST 8400
      SCU Seiko Seiki SCU-H2001K2 Turbomolecular Pump Control Unit Used Tested Working
      Lam Research 839-021113-002 Silicon Electrode Refurbished
      VAT 01032-KE11-A3DR Pneumatic Valve with Purge ISO NC VAC ASM 50-125108A38 
      Nikon 4S013-202 Interface Encoder Board PCB IM-ENCD1 NSR-S202A Used Working
      AMAT Applied Materials 0021-35989 LID PLATE, TxZ VIEW LID Used Working
      TDK MSE182B Power Supply PCB Card 4S001-064 Nikon NSR-S204B Used Working
      Carl Zeiss 44 72 17 MEG System 5 Position Turret Microscope Upper Camera HAL-100
      AMAT Applied Materials 0041-32713 Shower Head Gen 2.1 Producer GT Rev. 05 Used 
      Nikon 4S019-460 Processor Card PCB BSA-MOT CAB03NK036 Used Working
      Nikon 2S005-228-3 Relay Control Board PCB MCR-CNTRL2 Optistation 7 Used Working
      Nikon 4S020-207-1 Processor Control Board PCB AF-CNT Optistation 7 Used Working
      Nikon S00305B0 Support & Mounting Flexor NSR-S204B Used Working
      AMAT Applied Materials Pneumatic Door Assembly Desica Cleaner Used Working
      Nikon 4S019-136 Circuit Board PCB RMDRVX4B NSR Used Working
      Phillips 4002.471.7312 Circuit Board PCB 2067480028 Used Working
      Phillips 471-65981 Circuit Board PCB ACPA MK4 Used Working
      Prodrive 6001-0303-5700 Circuit Board PADC 100/16 ASML 4022.471.74125 Used
      Nikon 4S018-167 Interferometer Relay Card PCB ALGLIUIF2 NSR-S202A Used Working
      Nikon 4S019-288-1 Interface PCB Card IFSIGCOR NSR-S204B Used Working
      Tazmo 4S064-548 Robot Controller NSR18 V1.30 Nikon NSR System Used Working
      AMAT Applied Materials 0041-32713 Shower Head Gen 2.1 Producer GT Rev. 07 Used
      Tazmo 4S064-548 Robot Controller NSR18 Nikon NSR System Used Working
      Nikon NVC8-EX-XB Camera Switcher NSR-S202A Used Working
      Levitronix LC48 Bearingless Pump System Controller 70959-31/32CR New Surplus
      HX 150 Neslab Instruments 388204040304 Recirculating Chiller Tested As-Is
      Nikon 4S019-138 Processor Interface Card PCB IOP-I/F2 NSR-S307E Used Working
      Nikon 4S013-500 Processor Interface Board PCB BLIFX4B1 NSR-S205C Used Working
      Nikon 4S019-140 Relay Control Card PCB AIR-CTRL NSR-S307E Used Working
      Nikon 4S018-921 Robot Driver Interface Board RBTDRV NSR-S307E Used Working
      KLA-Tencor 11301400050000 Camera Flash Unit 11 301 400 050 000 Used Working
      Nikon 4S019-139 Relay Driver Card PCB MTR-DRV NSR-S307E Used Working
      Nikon 4S008-036 Analog to Digital Converter Board PCB EP-X4 NSR-S205C Used
       KLA-Tencor 11301400065000 Main/Chuck Vacuum Control 11 301 400 065 000 Used
      AMAT Applied Materials Precision 5000 Mark II P5000 Bezel and Front Panels Used
      Pilz 773100 Configurable Safety System PNOZ m1p mo4p mi1p mo1p mc3p Used Working
      IPX 500A Edwards A409-14-977 Vacuum Dry Pump Used Tested Not Working As-Is
      CTI-Cryogenics 8052000 Cryogenic 8300 Compressor w/Adsorber Used Tested Working
      Hitachi Kokusai F12K1550VH Left and Right Tube Furnace Used Working
      AMAT Applied Materials 0010-00742 End Point Keyboard P5000 New Surplus
      Arcom 7041-64423-004-102 Control Computer AMAT 9090-00810ITL Untested As-Is
      Brooks Automation 10600-10 Prealigner 200mm Chamber Used Working
      Hitachi 2-832793-01 ER Cover UHF New
      Panasonic ADKB400BPFADA AC Servo Drive w/ KJIU0304 DPKSU5V-0 PCB Lot of 14 As-Is
      West Coast Quartz 1040-10-153-A Lower Isolator, B-Liner 200MM TICL 4 Tin
      TERADYNE ASSY 115086 / VAD 610432 REV A Power Supply
      ASM 1134-624-01 LID-HTM PROCESS CHAMBER-PREVIUM V3 New
      GTI Technologies 10-10891-BO REFL-S-PARABOLIC LWR LAMP 90 ASM 16-146941-01 New
      AMAT Applied Materials 0090-91251 ITL Gap Servo Controller Assembly Used Working
      Eurotherm THYRISTOR QUAD SCR 40A 480V ASM 35-106642A19
      ASM 96-125485A05 ASSY-WAFER ,MAPPER-300 FRNT LD-S3
      Omron SRT2-ID16 SRT2-OD16 Temperature Controller Lot of 36 Kokusai DD-12 Used
      Nikon 4S016-164 Circuit Board PCB NK-C441-1 NSR Used working
      Nikon 4S018-163 Circuit Board PCB SHRINC-CTRL NSR Used Working
      TEL Tokyo Electron 2980-091324-12 AC Control Board PCB TAB1900 Used Working
      Swagelok Process out stick- 809-470313915A
      Nikon 4S064-050 VCM Amplifier 3-Axis Motor SPA1A NSR-S204B Used Working
      AMAT Applied Materials 0090-09299 POLYMIDE ESC MXT+ ESC SCRATCHED
      AMAT Applied Materials 0010-09750 RF Match, CVD
      ASM 1038-497-01 KIT-CABLE PM EXHAUST
      ASM 04-193446-01 Kit Tools P3000 MK1 New 
      ASM 04-196549-01 KIT-VESSEL ADAPT-SHIPLEY-10049536
      AMAT Applied Materials 0020-26905 PB Shield, Lower Knee 6"
      Lam Research 716-021049-141 Major Flat 4620 Wafer Clamp New
      Blue M OV-560A-2 Stabil-Therm Constant Temperature Cabinet Used Tested Working
      OEM-6 ENI OEM-6AM-1B-21251 RF Generator Rev. K Used Tested Working
      Nikon 4S007-692-1 Relay Control Board PCB WL3PRE2 NSR System Used Working
      Nikon 4S007-692-1 Relay Control Board PCB WL3PRE2 NSR-S204B Scanning System Used
      Nikon 4S014-182 Relay Control Board PCB AF-I/FX4A NSR-S205C Used Working
      Nikon 4S008-086 Relay Control Board PCB AF-MPXX4A NSR-S205C Used Working
      Novellus Systems Cool Down Station Concept Two Altus Used Working
      Verteq Process Control Panel Sunburst Megasonic Cleaner SRD 200CR Used Working
      HX-151 Neslab Instruments 373299991601 Recirculating Chiller HX 151g-CHX As-Is
      Kensington Q2SL XY Wafer Stage MT2115-014DF Bio-Rad Quaestor Q7 Used Working
      FEI Company 150-002600 Pneumatic Interface Board PCB Card 150-002610 FEI Used
      FEI Company 150-002280 CLM-PROC MOD-NETWORK Loadlock Control PCB CLM-3D Used
      Lam Research 852-011061-506 Reaction Chamber Assembly 4420 Rainbow As-Is
      Lam Research 852-011061-503-C-CHMAIN Reaction Chamber Assembly Untested As-Is
      Lam Research 810-17016-001 Stepper Motor Driver PCB Rev. C 4420 Lot of 6 Used 
      Nikon 4S013-368 Backplane Interface Board PCB STGSTCBLWTX4 NSR System Used
      SV65BIFC Leybold 960465V3002 SOGEVAC Vacuum Pump Oil in Intake Tested As-Is
      SV65BIFC Leybold 960465V3002 SOGEVAC Vacuum Pump Will Not Pump Tested As-Is
      Iwaki HPT-106-2 Photoresist Tubephragm Pump PHDC11H11B Filter TEL Lithius Used
      Brooks Automation BM22462L01 Horizontal Transfer Assembly F33 Used Working
      Daikin DFS410TC1B Fan Filter Unit MMH4601000 TEL Tokyo Electron Lithius FFU Used
      Nikon 4K737-758-1 Stage Motor Encoder Assembly RMN1000 NSR-S204B Used Working
      Nikon 4K737-758-1 Stage Motor Encoder Assembly RMN1000 NSR System Used Working
      Nikon 4S602-352-1 Stage Motor Encoder Assembly RMN1000 NSR-S204B Used Working
      Nikon 4S019-147-A Processor Control Board PCB REX-MTR NSR Used Working
      Nikon 4S001-060 Power Supply Card PCB PW-NA NSR-S205C Step-and-Repeat Used
      Nikon 4S001-092 Power Supply Board PCB PW-NK NSR Used Working
      Nikon 4S001-122-1 Power Supply Card PCB MSE378 NSR Used Working
      Nikon 4S001-093 Power Supply Board PCB PW-NK NSR Used Working
      Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S205C Used Working
      Nikon 2S700-323-2 Relay Card PCB S-AF(H) 2S020-020-5 Used Working
      AFE Technologies 1060 Datapanel Interface Touch Screen Used Working
      Lam Research 852-011061-503-C-CHMAIN Lower Main Chamber 4420 Etcher Used As-Is
      Kollmorgen MAG02-25021-001 2-Channel Magnet Driver AMAT 0190-16633 Used Working
      Nikon 4S602-352-1 Stage Motor Encoder Assembly RMN1000 NSR System Used Working
      Horiba STEC SEC-4400M Mass Flow Controller 845005138 SEC-4400 500 SCCM HCl New
      Millipore AC206 Throttle Valve Controller AdapTorr AC-2S06 Rev. E Used Working
      Horiba SEC-Z532KVX Mass Flow Controller 54-125252A45 MFC 100 SLM H2 Refurbished
      STEC SMT-2000 Liquid Flow Meter LFM TEOS 300 SCCM New
      Horiba STEC SEC-Z514MG Digital Mass Flow Controller MFC 1000 SCCM N2 Refurbished
      Horiba STEC SEC-4400MC-SUC Mass Flow Controller MFC 830053417 1 SLM H2 New
      Lam Research 852-011061-103 Reaction & Lower Chamber Lower Match Box 4420 As-Is
      Aera FC-7820 Mass Flow Controller MFC 54-125041A12 100 SLM H2 New
      Brooks Instruments 0550EB25H1EA Mass Flow Controller MFC 0550E 200 SLM H2 New
      ATMI BRC-22A Integrated Refill Controller
      Celerity FC-261V-4V Mass Flow Controller MFC 54-106904A07 20 SLPM H2 New
      Celerity UFC-8161 Mass Flow Controller MFC 54-123498A13 8161 20 SLM H2 New
      Brooks Instruments 0550EB32H1DA Mass Flow Controller MFC 0550E 300 SCCM H2 New
      Unit Instruments UFC-2550 Mass Flow Controller MFC 2500 30 SLM H2 New
      Horiba STEC SEC-4600M Mass Flow Controller MFC 100 SLM H2 New
      Celerity MSVAD100 Mass Flow Controller MFC 49-125310A10 IN2 5000 SCCM H2 New
      MKS P5A869503H6T021 Digital Mass Flow Controller MFC 5000 SCCM 20% O3/O2 New
      Celerity UFC-1661 Mass Flow Controller MFC 1661 750cc MFloSC14 New
      Celerity PSMBD200 Mass Flow Controller MFC 54-125027A03 IN2 100 SCCM He New
      Aera FC-7800 Mass Flow Controller MFC 54-123806A64 5 SLM HCl New
      Brooks Instruments 0550EB14H5DA Mass Flow Controller MFC 0550E 10 SLM H2 New
      Agilent 10898A Dual Laser Axis VME PCB Card 10898-60002 Damaged Connector As-Is
      Leybold ARS 16-25 Exhaust Filter with Lubricant Return D16BCS D25BCS New Surplus
      Horiba STEC SEC-Z512MGX Digital Mass Flow Controller MFC 100 CCM CHF3 New
      Balzers BG 445 013-S Cooling Lid Motor Assembly BG 545 649-T Used Untested As-Is
      Tosoh 805-381-SA-F-301 Inner Shield AMAT Endura New
      Tosoh 805-435-SG-F-258 Lower Shield AMAT Endura New
      AMAT Applied Materials 0020-24719 200mm Cover Ring AL New
      TEL Tokyo Electron 42755-0500 Shield Kit MRC Eclipse Star New
      ASM 02-184430-01 OBS Assembly Fixt Gate Valve Liquid Cooled New 
      DIRECTED LIGHT INC CAV-10965 Cavity, Assy, QTRNX116, Reflector Gold Assy Housing
      ASM / ABB 1046-353-01 / SACE T4H 250 CIRCUIT BREAKER-3P 250A 600V RING LUG
      KLA-Tencor 0071149-001 Preamplifier Optical 0024747-000 0023745-000 AIT UV Used
      AMAT Applied Materials 9090-01168 Electrostatic Chuck DC Power Supply PX32J Used
      AMAT Applied Materials 9090-00115 Chassis Monitor PCB 0100-01-252 Quantum X Used
      ASM 1127-023-01 LID-HTM PROCESS CHAMBER-PREVIUM V2 Rev B New
      AMAT Applied Materials 9010-01379 Celerity Gas Card AsH3/PH3 Quantum X Used
      AMAT Applied Materials 9010-01381 Celerity Gas PCB Card GeF4 Quantum X Used
      Devicenet 10150603 16’6” Cables Lot of 25 used working
      AceCo S33-1872-14 Susceptor Assembly Refurbished
      TEL Tokyo Electron D117778 CATH ADAPTER, RMX-10
      MRC D122742 HOLDER WAFER HBUMP 6"
      ENTEGRIS 5400-XX-V06-B30-A-S2-S08 NT Electronic Flowmeter
      Lam Research 713-011203-001 90 Degree Loadlock Cover Rev. E Rainbow 4420 Used
      Nikon 4S008-090 Regulator Board PCB LIUREG2 Nikon NSR-S204B System Used Working
      Nikon 4S013-223 Interface Board PCB LIUPWBPB Nikon NSR-S204B System Used Working
      TEL Tokyo Electron PR300Z 300mm Wafer Rack Assembly BEOL Copper Exposed Used
      Mitsubishi MELSEC-Q PLC Control Assembly TEL Tokyo Electron PR300Z Used Working
      TEL Tokyo Electron PR300Z Right Wafer Mapping Assembly M-DW1 System Copper Used
      Hitachi Ion Pump Power Supply Assembly 560-5521 S-9300 CD SEM Used Working
      KLA Instruments 655-653668-00 Microscope Turret Assembly 2132 Used Working
      Lam Research 716-11750-151 Quartz Ring Assembly 71611750151 New
      Lam Research 715-021050-001 Ring 715-21050-001 Rev. 1 New
      Lam Research 715-011559-262 Wafer Clamp Plate New
      Lam Research 716-011963-003 Plate W/C Attachment INTR.BLW New Surplus
      Lam Research 716-140038-001 Ceramic Filler Ring New
      Lam Research 716-038657-418 ESC 8" Si3N4 Focus Ring Cover New
      Lam Research 716-038657-533 8" S13 N4 ESC Focus Ring New
      Lam Research 716-330004-008 HI-COND 8" Focus Ring ESC New
      Lam Research 716-011754-003 Ceramic Lower Baffle Plate 716117543 New Surplus
      Lam Research 716-011759-016 6" with Pins Edge Focus Ring New
      Hine Design 380-877702-001 Ergo Loader Assembly 380877702 Used Working
      Lam Research 713-071681-002 IN-SITU TMC Wafer Spacer 713-71681-2 New
      Lam Research 716-331100-001 6" Ceramic ESC FL BOT Edge Ring New
      Lam Research 716-380017-001 Wafer Top Clamp DMD SHDW MINOR New
      Lam Research 715-011531-011 Aluminum Anodized Electrode New
      Kensington Touchscreen Operator Interface 21-0E01-0044 CSMT-4 Tool Used Working
      Lam Research 716-029504-008 8.00 Wafer Clamp Edge Ring New
      Lam Research 716-01175-043 4" Quartz Universal Ring Insert 716-11750-43 New
      Lam Research 715-030138-001 8" Wafer Clamp Focus Ring New
      Lam Research 716-347266-001 Chamber Baffle Liner New
      MVP 015-2 Pfeiffer Vacuum PK T05 100 Dry Vacuum Pump Used Tested Working
      Hine Design 860 Vacuum Arm Assembly No End Effector GaSonics A-2000LL Used
      Lufran 090-R0-480-721-U Dual Tank DI Water System Untested As-Is
      Hine Design 860 Vacuum Arm Assembly Overshoot Trip Dismounted A-2000LL As-Is
      AMAT Applied Materials IL100A Fuse Box Power Unit Used Working
      AVTF-110889 400W 240V Hot Trap ISO100 Flange New Surplus
      Watlow 200mm RF Window Film Heater Thermal Chamber Substrate TF-101-9-1 new
      AMAT Applied Materials 01-81913-00 System DC Power Supply 8100D Used Working
      TEL Tokyo Electron 200mm Indexer Assembly P-8 Wafer Prober Used Working
      Hitachi EVAC CONT UNIT Power Distribution Module S-9300 CD SEM Used Working
      Millipore FC-2952MEP5-T Mass Flow Controller 10 SLPM He New
      JEOL JUS-FEGBB Ion Pump Battery Backup Module JEM-2010F Used Working
      Shinko 0TS12B-M2-1 Robot Servo Controller SBX08-000030-11 CNT DSW1 Used Working
      Schumacher 1442-0218D Absolute Amplifier ACU TLCHFCK Kokusai DD-1203V Used
      SMC XLD-100D-X862 Pneumatic High Vacuum Angle Valve ISO100 Used Working
      Nikon 4S008-089 Relay Board PCB AF-ADCX4A NSR-S204B Scanning System Used
      AMAT Applied Materials EEP-A-024 Interface Loadlock Cover Precision 5000 Used
      MRC Materials Research A112990 Throttle Valve Assembly Rev. F Eclipse Star Used
      AMAT Applied Materials 0100-09267 Chamber Distribution UHP PCB P5000 Used
      Iwaki HPT-106-2 Photoresist Tubephragm Pump TEL Tokyo Electron Lithius Used
      Hitachi 571-7393 SRSEQ01 Interface Board I-900SRT Used Working
      Hitachi 571-7204 STSEQ11 Interface Board PCB I-900SRT Used Working
      Lam Research 853-012350R002 Outer Gate Assembly Rev. H 4420 Etcher Used Working
      Hitachi 571-7090 OPSEQ01 Interface Board PCB I-900SRT Used Working
      Hitachi 571-7096 STSEQ01 Interface Board PCB I-900SRT Used Working
      Pentagon Technologies D124821-200A Cu Pie Pan Shield MRC Eclipse Star New
      Nikon 4S082-006-1 Power Supply 48V 10A NSR-S204B Used Working
      Nikon 4S018-888-1 Relay Control Base Board PCB NIIP-BASE-S Used Working
      Nikon 4S008-089 Interface Control Board PCB AF-ADCX4A NSR-S205C Used Working
      Nikon 4S008-135 Interface Board PCB AF-PSDSUB-X3 NSR-S204B Step-and-Repeat Used 
       S&C Electric 005-126-3 Power Supply Control Board PCB 004-126-3 Used Working
      Nikon 4S008-089 Relay Control Board PCB AF-ADCX4A Used Working
      Nikon 4S018-885 Relay Control Card PCB CPCI-IF NSR-S204B Used Working
      TEL Tokyo Electron HTE-0V5-A-11 HP #01 HTE-0C3-C-13 PCB Board Lithius Used
      Nikon 4S008-135 Relay Data Card PCB AF-PSDSUB-X3 NSR-S205C Used Working
      Kimmon Quartz H-FRIM15-KDRN Torch Controller Assembly Used Working
      S&C Electric 4126-7 Power Supply Control Board PCB 005-126-3R1 4114-3 Used
      Nikon 4S008-004 Interface Board PCB STGX22A NSR-S204B System Used Working
      Nikon 4S008-086 Relay Control Board PCB AF-MPXX4A Used Working
      TEL Tokyo Electron OYDK-101 IO CHEM #02 PCB Board OYDK-062 Lithius Used Working
      Key High Vacuum GV-6-AP-MRC Gate Valve MRC Eclipse Star Used Working
      PRI Automation TRA035-LPS Track Controller KLA-Tencor 0000666-000 CRS-3000 Used
      Cognex VPM-3434-1 In-Circuit Test PCB Card 200-0057-1 Electroglas 4085x Used
      CTI-Cryogenics 8116136G001 On-Board Waterpump MRC Eclipse Star Used Working
      Turbo-V 550 Varian 9699544S016 Turbomolecular Pump Controller Used Working
      CTI-Cryogenics 8120948G001 On-Board Waterpump MRC Eclipse Star Used Working
      TEL Tokyo Electron Operator Display P-8 Fully Automatic Wafer Prober System Used
      MRC Materials Research A112990 Throttle Valve Assembly Rev. H Eclipse Star Used
      Key High Vacuum GV-6AP-4010780 Gate Valve MRC Eclipse Star Used Working
      Premium 0588.2 AC/DC Power Supply ASML 4022.471.84295 Used Working
      MRC Materials Research A112990 Throttle Valve Assembly Rev. M Eclipse Star Used
      Edwards D37291400 Electrics Module DP iTIM iH AC Used Working
      Premium NP-0588 AC/DC Power Supply Card ASML 4022.471.84293 Used Working
      RadiSys SYS-ZDT-100 System Control Computer Novellus 02-828034-00 Used Working
      Wild Heerbrugg M3C Stereo Zoom Microscope Fiber Optic Light Stand Used Working
      MRC Materials Research A112990 Throttle Valve Assembly Rev. M Disassembled As-Is
      SMC INR-244-230W Power Supply 12inch THERMO-CON Used Working
      Nikon Z-X3-B4102RU Stepper Controller E0R05-9437A NSR-S204B Used Working
      Hitachi ZVV022 Processor PCB Card I-900 GRYCMP2 I-900SRT Used Working
      TMP Shimadzu TMP 280-L Turbomolecular Pump includes Fomblin New Surplus
      Motech CD52/A Voltage Clamp Unit AMAT 0090-91598 XR80 Implanter Used Working
      JEOL High Voltage Power Interconnect Cable JEM-2010F TEM Used Working
      OEM-650A ENI OEM-6A-11491-51 Solid State Power Generator Not Working As-Is
      Nikon 4S013-212-3 Interface Board PCB WL3SLDR NSR-S204B Step-and-Repeat Used
      AMAT Applied Materials 0100-00611 Smoke & Water Leak Detector Board PCB Used
      Ultratech Stepper 0516-537100 Focus Monitor Assembly 250-1 UltraStep 1000 Used
      TEL Tokyo Electron AP9Z-1521C IO Spin #02 PCB Board TKB7001 Lithius Used Working
      KLA-Tencor 0023935-003 Transformer KLA-Tencor AIT UV+ used working
      KV Automation 4022.486.21561 Vacuum Gas Module ASML Used Working
      Osaka Vacuum TC011M Compound Turbomolecular Pump Controller Used Tested Working
      Shimadzu 263-14025-20V1 TMP Turbomolecular AC Cable TEL 3D86-004930-V1 20M New
      Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S204B Step-and-Repeat Used
      Osaka TD2001-C Turbomolecular Pump Controller Power Supply Used Tested Working
      Gatan Model 688 DigiScan JEM-2010F TEM Transmission Electron Microscopy Used
      Nikon 4S018-351 Controller Board OPDCTRL2 PCB Card NSR-S202A Used Working
      Micrion 150-002520 CLM Optics Controller PCB Card FEI 4035 285 13391 CLM-3D Used
      Shimadzu 263-14025-20V1 TMP Turbomolecular AC Cable TEL 3D86-004932-V1 20M New
       ASML 4022.471.6363 Fiber Optic Processor VME PCB Card 4022.471.63651 Used
      Nikon NSR 4S018-403 PPD3S Board PCB Card Used Working
      Nikon 4S018-403-E Control Board PCB PPD3S NSR-S204B Step-and-Repeat Used Working
      TEAL 2450065-02 Power Conditioner PDU-SPCLM FEI 4035 272 23221 CLM-3D Used
      VAT 65040-PA52-ALV1 Pendulum Control and Isolation Valve Copper Untested As-Is
      JEOL MP003460-00 MPU PB Processor Board PCB Card EM-2010F Used Working
      JEOL EM-07130 Microscope Controller fasTEM Panel TEM JEM-2010F Used Working
      MKS Instruments 153D-20-40-2 Smart Exhaust Valve Type 153 Used Working
      KLA-Tencor 716-402608-07 150mm Wafer Prealigner Assembly 5107 Used Working
      Nikon OPTISTATION 3 Microscope Turret with Light Source Assembly Used Working
      Nikon OPTISTATION 3A 200mm Wafer Inspection Transport Assembly Used Working
      NSK ESB-SSB020A23F2-02 Robot Servo Drive TEL 5080-192754-11 Lithius Used Working
      SVG ASML 854-8305-006-A Chassis Used Working
      Nikon 4S018-649 Elevator NSR-S306C Used Working
      SUNX LA-310P LA-310D Beam Sensor and LA-A1 Controller Used Working
      ASML 4022.470.2197 Pneumatic Manifold Nikon Reticle Loader Used Working
      ASM Advanced Semiconductor Materials P114295400 Kit-Swap FLR Generic-Dev New
      Tylan General AC-2S06 Throttle Valve Controller AdapTorr Rev. D 
      Asyst Technologies Theta Arm Assembly Hine Design Used Working
      Nikon 4S013-382 Backplane Board PCB STGPWTX4A with 4S005-346-1 NSR System Used
      Mykrolis QCCYATE01K Quickchange ATE Chemlock Cartridge 0.03μm Filter
      Tylan General AC-2 Throttle Valve Controller AdapTorr 
      Nikon 4S013-477 Backplane Interface Board PCB STGSTCMSCRFX4B NSR-S307E Used
      Lam Research 716-011036-001 Alumina Filler Orifice Ring
      Lam Research 853-012500-002-E-3117 Edge Detector
      Nikon 4S013-480 Backplane Interface Board PCB STGSTCRMEPX4B NSR-S307E Used
      Nikon 4S013-365 Backplane Interface Board PCB STGSTCPX4 NSR System Used Working
      KLA-Tencor 0081498-000 Optic Filter Wheel Assembly 54-0261 52-0559 52-0775 Used
      Nikon 4S013-369 Backplane Interface Board PCB STGSTCAFX4 NSR System Used Working
      Nikon 4S013-479 Backplane Interface Board PCB STGSTCAV1AV2X4B NSR-S307E Used
       Nikon 4S013-478 Backplane Interface Board PCB STGSTCWYWXX4B NSR-S307E Used
      EXT250 HP Edwards B74004000 R Turbomolecular Pump Turbo Untested As-Is
      Axcelis 595731 Module Power Distribution 5125B6W Pin & Sleeve Inlet & Cable Used
      KLA-Tencor Archer XT+ Power Distribution Assembly 0017522-000 Used Working
      Matrox 7116-01 Odyssey XPRO Vision Processor PCB Card for Parts or Repair As-Is
      TEL Tokyo Electron HTE-0V3-E-15 HP #01 HTE-0C3-C-13 PCB Board Lithius Used
      Asyst Hine Design 04630-004 Load Elevator Indexer Gasonics 94-1174 Used
      Kokusai 30" Linear Actuator Rail Assembly Kokusai Zestone DD-1203V Used Working
      Premium 0588.2 AC/DC Power Supply ASML 4022.471.84293 Used Working
      VAT 0210X-CA24-BIR1 Rectangular Dual Slit Valve Used Working
      VAT 0210X-CA24-BCU1 Rectangular Dual Slit Valve Used Working
      VAT 0210X-CA24-BCU1 Rectangular Dual Slit Valve 0210X-CA24-BIR1 Used
      Nikon 4S018-608 Processor Board WL3I06 PCB Card NSR Series Used Working
      CKD N4S0-T50 Solenoid Valve Manifold N3S010 Lot of 28 Used Working
      Nikon 4SO14-142-3 PCB Card RL-CTRL2 Used Working
      MRC Materials Research A116985 High Voltage Assembly A118144 Lot of 2 As-Is
      Nikon 4S013-382 Backplane Interface Board PCB STGPWTX4A NSR Used Working
      CKD SMC Valve Sensor Assembly CHV-8 VX3344K Lot of 9 Used Working
      Nikon 4S008-106 Audio Video Processor Board PCB AV1-I/FX4 Used Working
      AMAT Applied Materials 0240-62572 SIP TTN 300mm Adapter Kit New
      Nikon 4S008-107 Audio Video Processor Board PCB AV2-I/FX4 Used Working
      CKD FGL11-X0029 Leak Break Valve Reseller Lot of 8 Used Working
      Mitsubishi QJ61BT11N PLC Assembly Q312B Used Working
      Schlumberger 97911002 Clock Timer Board 40911002-1 Used Working
      AMAT Applied Materials 0242-21251 Robot Harness Kit 3 Cables Endura 300CL New
      Varian 9699831S001 Vent Valve Control E37000105 E1000 Implanter Used Working
      AMAT Applied Materials 0020-52627 Clamp Ring Centura Refurbished
      Nikon Z-X3-A4205RU NSR Stepper Controller Used Working
      AMAT Applied Materials 0020-13067 300mm Lower Shield Centura Refurbished
      Jenoptik 4022.456.0076.2 BXP Lens ASML BXP CAF2 Lens B Cymer/1100 New Surplus
      Koganei 4K199-395 Pneumatic Box Assembly Nikon NSR-S307E Used Working
      Hine Design 48V Elevator Wafer Transport Nordiko Technical Services 9550 Used
      Nikon 4S019-114 Backplane Interface Board OPDMTHX4B1 NSR-S307E Used Working
      TX INSTRMN Z17360-501 Drive Assy Rotor 260/270/280 1/2HP SP15 New
      Nikon 4S007-865 Interface Control Board PCB SR8-XY2 NSR-S202A Used Working
      Nikon 4S007-792 Relay Interface Board PCB SR8-PRE2 NSR-S202A Used Working
      Semitool 14837-01 4-Channel 202 Serial Board PCB Card 2601800 New Surplus
      AMAT Applied Materials CoTi Kit with Collimator MA-20304 Used Working
      MDX-052 AE Advanced Energy 2052-000-B Magnetron Remote Interface Used Working
      Bionics Instrument Co. STX-1551HT Smart Transmitter STX-HT ASM 63-119074A17 New
      Astech PSC-2 II RF Auto Phase Shifter 13.56MHz with Cables Used Working
      Nikon 4S007-138 Interface Relay Board PCB RT8XB NSR-S202A Used Working
      Nordiko Rotating Magnet Power Supply Copley TR239-45 9550 PVD Sputtering Used 
      AMAT Applied Materials 0021-39943 Liner Pump Port IPS Cleaned Refurbished
      Mitsubishi Q38B Motion Controller Assembly PLC MELSEC-Q Q25HCPU Used Working
      MDX-052 AE Advanced Energy 2052-018-B Magnetron Remote Interface Used Working
      A-B Allen-Bradley SLC 500 10-Slot PLC 1746-P4 SLC 5/05 DeviceNet Input Output
      Nikon 4S007-787-1 Interface Relay Board PCB AVIS-I/F2 NSR-S202A Used Working
      Nordiko NLS90V Linear Servo Controller 9550 Shield Metal Film PVD System Used
      KLA-Tencor 0018283-002 AIT UV Timing Generator Card PCB Used Working
      Hine Design 48V Elevator Wafer Transport Nordiko Technical Services 9606 Used
      MDX-052 AE Advanced Energy 2052-018A Magnetron Remote Interface Used Working
      Lam Research 715-495014-001 Liner Transition Manifold Adaptor New
      Hitachi 710-S00242A Computer Controller
      Nikon 4S007-770-B Interface Board PCB LC-PRES2 NSR-S202A Used Working
      TEL Tokyo Electron AP9E-0858E IO Spin #01 Board PCB TKB7000 Lithius Used Working
      ASML 4022.471.63637 Fiber Optic Processor VME PCB Card 4022.471.63651 Used
      Nikon 4S018-402 Interface Board PCB MTH-8SH NSR Used Working
      Nikon 4S001-065 Power Supply Card PCB MSE182C NSR Used Working
      ASML 4022.471.5745 HSSL Fiber Optic Processor VME PCB Card Used Working
      Nikon 4S003-057 Relay Board PCB STG-I/F1 KAB11005/501-0 Optistation 7 Used 
      Nikon 4S018-723 Relay Board PCB PWMDRV1 NSR SEK-K Used Working
      Nikon 2S700-581 Processor Board SCNT PCB Card 2S014-034-1 OPTISTATION 3 Used
      Nikon 2S700-555 Video Sync Board OST MST PCB 2S015-064-4 V5.16A Used Working
      Nikon 4S007-878-2 Interface Board PCB AF-I/FX22 NSR-S204B Step-and-Repeat Used
      Nikon 4S003-057 Interface Board PCB STG-I/F1 KAB11005/501-0 Optistation 7 Used
      ASML 4022.472.4428 Programmable Logic Device VME PCB Card Used Working
      TEL Tokyo Electron 3D80-000008-V4 Wafer Transfer Servo SPCBUA2-20-40-ZV Used
      Phasetronics P1050-X2-60 Power Control Lot of 16 ASM Epsilon Used Working
      KNF Neuberger 1156-N813.0-3.00 Pump CMP/ICP400 Lot of 11 for Repair Tested As-Is
      TEL Tokyo Electron 3D80-000009-V4 Transfer Servo SPCBUA2-20-16-ZV T-3044SS Used
      AMAT Applied Materials 1000043168 5500 Endura DI MAX Lift Station 300mm CU Used
      TMP Shimadzu EI-203MD Turbomolecular Pump Controller Untested Damaged As-Is
      Lam Research 716-011036-001 Ring Filler Lower Rev. F New
      TEL Tokyo Electron LPC-T0007A-11 Load Port Assembly ACT12 Cassette Block Used
      AMAT Applied Materials 0021-11486 Disk Shutter SNNF BESC 300mm New
      Applimotion 0040175-000 Motor Lens Assembly KLA-Tencor 0030368-000 Used
      Hitachi High Technologies 2-A06112-^B Microwave Calibration Jig New
      Hitachi High Technology 2-A06112-^A Microwave Calibration Jig New
      Lam Research 853-012550-002 E-ELM Edge Sensor Assembly Rainbow 4420 Etcher Used
      DNS Dainippon Screen Ion Pump Power Supply FC-3000 Used Working
      Novellus Systems 02-1694180-01 Linear Track 15-265469-01 Copper Exposed Used
      Agilent 34970A Data Acquisition Switch Unit with 34908A 34903A Used Working
      Nikon 4S013-400 Backplane Interface Board PCB SPAPWT3 NSR-S204B Used Working
      Christie 1.8-2.5:1 0.94" SXGA+ Projector Lens MATRIX S+2K M Konica Minolta Used
      AMAT Applied Materials 303-06259-00 Circular Groove Heater Block Refurbished
      Nikon 4S018-390-1 Backplane Interface Board PCB STGMTRX2 NSR-S204B Used Working
      Nikon 4S001-065 Power Supply Board PCB MSE182C NSR-S202A Used Working
      Novellus Systems 02-169180-02 Linear Track 15-265469-02 Rev. E Used Working
      Novellus Systems 02-169180-01 Linear Track 15-165469-01 Used Working
      Nikon 4S013-319-B Backplane Interface Board PCB SPAPWT2 NSR-S204B Used Working
      Nikon 4S013-324-1 Backplane Interface Board PCB STGPWTX2A NSR-S204B Used Working
      Chroma 66202 Single-Channel Digital Power Meter 10kW with USB GPIB Used Working
      Novellus Systems 02-169180-02 Linear Track 15-265469-02 Used Working
      Lam Research 02-169180-01 Linear Track 15-265469-01 Rev. F Used Working
      Nikon 4S008-181 Audio Video Processor Board PCB AV-I/FX4B Used Working
      POLARA-260A ENI POLARA-260-01 Bipolar Pulsed Supply Rev. B AMAT 0190-01428 As-Is
      POLARA-260A ENI POLARA-260-01 Bipolar Pulsed Supply Rev. C AMAT 0190-01428 As-Is
      Digital Electronics UF7811-2-DV2S-24V Operator Panel TEL PR300Z Used Working
      ASML 4022.470.2197 Pneumatic Monitor Box 71901963 Stepper Dual Scan Used
      ASML 4022.636.27442 MPD Relay Box ASML Dual Stepper Scan Used Working
      Millipore RGEN-01 Photoresist Pump Base RDS TEL CT2911-000003R14 Refurbished
      TEL Tokyo Electron Operation Manual Set Clean Track Lithius Used
      Varian 15000400 ASM Ion Implant Servo AMPL PCB Board Lot of 4 for Repair As-Is
      Hitachi Kokusai Denki UO1200PMQA-DS1CE Ultrasonic Generator Mikro Sonic Used
      Vicor MX1-410517-33-EL 4kW Mega Pac Power Supply Lot of 4 Used Working
      Kokusai CX1231 Contoller Chassis Rev 1B [Broken Tab] used working
      TEL Tokyo Electron OYDK-057 CONN ANALOG #02 OYDK-058 PCB Board Used Working
      Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K Not Working As-Is
      TEL Tokyo Electron A112990 Throttle Valve Assembly Rev. H New
      TEL Tokyo Electron 3D81-000040-V2 PCB 3D81-000041-V2 3D81-000020-V3 Used
      AMAT Applied Materials 0021-43797 Shield Lower Rev. 4 New Surplus
      Kokusai CX1231 Contoller Chassis Rev 1B Kokusai Vertron used working
      Kokusai CX1231 Contoller Chassis Rev 1A used working
      TEL Tokyo Electron OYDK-018B CONN P-CHEM #01 PCB Lithius Used Working
      AMAT Applied Materials 0100-90790I Wafer Arm Position Sensor Used Working
      Mattson Thermal Products 7310-2958-04 Oven Top Plate Rev. F Used Working
      TEL Tokyo Electron 3D81-000044-V3 PCB 3D81-000045-V2 3D81-000020-V3 Used
      TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board OYDK-062 Lithius Used Working
      Nikon 4S587-605 Controller WYR SWB1 Used Working
      Lintec VU-104 Vaporizer AMAT Applied Materials 3870-01548 New
      Nikon 4S587-604 Controller WYL SWB1 Used Working
      Nikon 4S064-072 TDK MSE182G Power Supply Module RGW48-32R Used Working
      Yaskawa Nikon NSR Motor Controller 4S061-666-1 CLSR-A504-N2SB Used Working
      TEL Tokyo Electron 3281-000013-19 Hard Drive PCB Card TVB0004-1/147CON P-8 Used
      AMAT Applied Materials 0040-41887 Slit Valve External Insert Piece Lot of 3 New
      KLA-Tencor 710-734976-001 Rev AD EMO Control Module 2365-UI Used Working
      Nikon 4S013-371 Backplane Interface Board PCB STGSTCRMEPX4 NSR-S205C System Used
      Nikon 4S018-726 Backplane Interface Board PCB LC-MTR-X4 NSR-S205C System Used
      Karl Suss PH150 Micropostioner Used Working 
      Kokusai Electric D2E01448 #1 TIME/PLD Processor Board INT-MB PCB Card Used
      MRC Materials Research 150mm Hard Etch Bump Kit Eclipse Star Refurbished
      Nikon 4S001-082 Power Supply Card PCB PW-NJ NSR-S205C System Used Working
      Nikon 4S018-715-A Backplane Interface Board PCB OPDMTHX4 NSR-S205C Used Working
      Hitachi 3-855544-02 Stainless Steel V1 UHF Plate New Surplus
      Agilent Technologies 5517DN13 Interferometer Laser Nikon 4B991-253-1AN Used
      MSA Auer ED 098 SMD EX-Alarm PCB Card Used Working 
      Nikon 4S013-569 Relay Interface Board PCB SPAPWTX4S NSR-S205C System Used
      SMC CDQ2F140C-J9092?-XC11 Pneumatic Cylinder Hitachi 3-854491-*A Refurbished
      Kokusai Electric D2E01448 #2 TIME/PLD Processor Board INT-MB PCB Card Used
      Nikon 4S013-509 Backplane Board PCB SPAMTRX4B NSR-S307E Used Working
      Nikon 4S007-608 Relay Driver Card PCB PPD-EXDRV NSR-S202A System Used Working
      Nikon 4S001-061 Power Supply Card PCB PW-NB NSR-S202A Used Working
      Nikon 4S013-366 Backplane Interface Board PCB STGSTCMSCRFX4 NSR-S205C Used
      Denichi Computer Devices EN-6138 Industrial Computer 1.2GHz Cognex MVS 8100
      Digital Electronics 2980070-11 Touch Screen Display NSR-S307E Used Working
      Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 3 SLM Ar New Surplus
      Asyst Technologies 9700-3858-01 Wafer Indexer 360 MM INX 3600 Used Working
      Shinko SCE93-100011-C1 SBC LVDT-3A-1 PCB Card SBX08-000027-12 TEL T-3044SS Used
      DNS Dainippon Screen CEBB-1011 Bake Controller PCB Card AP-1031D AP-1059B Used
      AMAT Applied Materials 0010-76001 5000 Cleanroom Storage Elevator P5000 Used
      Panasonic MSD043A1Y03 Driver Unit PCB Card 581B370B 581B342G TEL ACT8 Used
      KLA 655-662143-00 Laser Optics Lens and Mirror Assemblies Lot of 8 Used
      S&C Electric PEA-2146-7 Power Module 8 Assembly Used Working
      Turbo-V 700 HT Varian 9699545S004 Turbomolecular Pump Controller With Cable New
      Lam Research 716-011036-001 Ring Filler Lower Rev. G  New
      Novellus Systems 2130 Interlock Gamma Board PCB Used Working
      Novellus 26-169462-00 Gamma 2130 DC Power Board PCB 03-169462-00 Used Working
      MRC Materials Research A114569 Index Assy. Rev. A Eclipse Star Incomplete As-Is 
       Therma-Wave 18-010547 Detector Lens Optic Assembly Rev. C Opti-Probe 2600B Used
      MicroVision MVT4080 Wafer Aligner Used Working
      305W Ebara REM01 PWM 20M Turbomolecular Pump Controller Rack Used Tested Working
      Therma-Wave Opti-Probe 2600B 18-010493 Lens Optics Block Lot of 5 Used Working
      Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V5.16A Used Working 
      Nikon 4S007-545 Sensor Analog Board EXX-FC2-SNS NSR System Used Working
      Novellus Systems 02-169180-02 Linear Track Copper Cu Exposed Used Working
      Celerity PSPBD200 Mass Flow Controller MFC 54-125027A04 IN2 500 SCCM N2 New
      Aera FC-786C Mass Flow Controller MFC 830060677 20 SLM N2 New
      Horiba STEC SEC-4400M Mass Flow Controller 54-123117A53 500 SCCM SiH2Cl2 New
      Tylan AC-2S02 Throttle Valve Controller AdapTorr AC-2 Rev. G Used Working
      KLA-Tencor 11301400430000 NG Power Supply Unit 11 301 400 430 000 Used
      Faulhaber Minimotor 000317 090 Nikon NSR-S204B System Used Working
      Horiba STEC SEC-Z13DWM Mass Flow Controller MFC 54-125252A33 200 SLM H2 New
      Celerity UFC-1661 Mass Flow Controller MFC 54-123389A89 3SLM HCl New
      Nikon 4S007-959-B Relay Board PCB EX-S/H-2 NSR-S204B Step-and-Repeat Used 
      Therma-Wave 14-009999 PC-MBUS Interface Card PCB Rev. C Used Working
      Aera FC-7800 Mass Flow Controller MFC 54-123806A69 500 SCCM H2 New
      Aera FC-7800 Mass Flow Controller MFC 2 SLM HCl New
      Horiba STEC SEC-4400MC-SUC Mass Flow Controller MFC 830052089 500SCCM HCl New
      VAT 65040-PA52-ALV1 Pendulum Vacuum Gate Valve 98800 Damaged Untested As-Is
      Mitutoyo 378-804-2 M Plan Apo 20 0.42 ∞/0 f=200 Microscope Objective Used
      Hitachi ZVV022-0 Processor PCB Card GRYCMP2 I-900SRT Used Working
      SMC US13394 Slit Valve Pneumatic Cylinder 3020-00077 AMAT 0010-43936 Refurbished
      Aera FC-7800 Mass Flow Controller MFC 54-123806A15 500 SCCM HCl New
      STEC SEC-4500M Mass Flow Controller MFC 54-123117A18 SEC-4500 10 SLM H2 New
      Nikon 4S008-180 Audio Video Processor Board PCB AV1-I/FX4B Used Working
      Pearl Kogyo ZDK-916 Tuner Controller RP-150-2MB Used Working
      MDX-052 AE Advanced Energy 3152052-000 Magnetron Remote Interface Used Tested
      Stange Elektronik SE-600-BB Industrial PLC Controller SE-600 BB Used Working
      Ultrapointe 000134 Page Scanner Fast Z 1003T PCB Assembly KLA CRS-3000 Used
      TCP 350 Pfeiffer Vacuum PM C01 740 Turbomolecular Pump Controller New
      AMAT Applied Materials 0200-76058 Quartz Pedestal Large Pin Diameter 300mm Used
      Leitz 020-448.026 Ergolux Inspection Microscope 307-148.002 Tested As-Is
      Hitachi High Voltage Control Unit Assembly 560-5510 S-9300 CD SEM Used Working
      Yokogawa DL3110B 12bit 25MS/s Digital Oscilloscope 7003-10 Used Working
      Nikon 4S017-714 Circuit Board PCB AIR-I/F NSR Used Working
      Hitachi Laser Optics Mirror Reflector Module I-900SRT Set of 3 Used Working
      VAT 07512-UA24-ADA1 Atmospheric Door ASM Epsilon Used Working
      Fanuc A06B-6089-H105 AC Servo Unit Amplifier B-65192 Alpha Series Used Working
      White Knight AP200 Rebuild Kit RBAP200-1
      Aera TC FC-980C Mass Flow Controller MFC FC-980C 50 SCCM CYCLO-C5F8(.149) New
      MKS 649A-25466 Mass Flow Controller 54-106931A65 500 SCCM H2 Ne New
      Aera TC FC-D980CS Mass Flow Controller MFC FC-D980C 200 SCCM Ar New
      Aera TC FC-980C Mass Flow Controller MFC FC-980C 50 SCCM CYCLO-C5F8(.149) New
      Fanuc A02B-0166-C201/S 9" CRT/MDI Unit Operator Interface Used Working
      Aera TC FC-D980C Mass Flow Controller MFC FC-D980C 2 SLM O2(0.989) New
      Horiba STEC SEC-4600M Mass Flow Controller MFC SEC-4600 100 SLM H2 New
      Tektronix TDS 420A 4-Channel Digitizing Oscilloscope TDS420A Used Working
      Ebara 305W Turbomolecular Pump Controller Used Working
      MKS Instruments 649A12T52C2DR Mass Flow Controller 54-106931A64 500 SCCM N2 New
      Aera TC FC-D980C Mass Flow Controller MFC FC-D980C 10 SCCM O2 New
      KLA-Tencor 0040615-002 LCD ROW COL Driver Left Lens Rev. AC AIT Fusion UV Used
      Keithley Instruments 9102-EIM Ethernet Interface PCB Card Used Working
      KLA Instruments 200-000011-00 Microscope Turret Assembly 655-653668-00 2132 Used
      Nederman 10561032 NEX MD Fume Extraction Arm 2 Meter Reach New Surplus
      Hitachi Ion Pump Power Supply with Backup Battery S-9300 CD SEM Used Working
      Hirata HPC-907B CPU Controller Assembly HPC-914 HQPLP-2DHP Used Working
      AMAT Applied Materials 9090-00785 Electrode Assembly Rev. B Qauntum X Used
      Turbo-V450 Varian 969-9542 Turbomolecular Vacuum Pump Controller Used Working
      Sanritz Automation 02EP3 Embedded Controller PCB Card SVP501-3-S FC-3000 Used
      Therma-Wave 18-010547 Detector Lens Optics Assembly Rev. A Opti-Probe 2600B Used
      TEL Tokyo Electron 2981-600618-11 I/O DISP #02 PCB 2908-600618-11 Used Working
      PRI Automation TRA035-LPS Track Controller KLA-Tencor 0014445-000 Used Working
      ASML 4022.470.0445 SSD Module Used Working
      KLA-Tencor 720-23189-000 10KV Floodgun Assembly Untested As-Is
      Polaris 306570-001 7th Axis Robot Main I/C Cable Used Working
      OEM-6B ENI OEM-6B-01M4 RF Generator Tested Not Working As-Is
      Lam Research 715-011531-102 Electrode Aluminum Anodized New Surplus
      Hitachi ZVV031 Processor PCB Card I-900 EXBF3 I-900SRT Used Working
      Hitachi ZVV034-0 Processor PCB Card I-900 VEDIT2 I-900SRT Used Working
      Hitachi ZVV023-1 Processor PCB Card I-900 CELCMP2 I-900SRT Used Working
      Sumitomo Electric 52131001C Drive Board DRIVE-Z3 PCB Card Nikon NSR Used Working
      Hitachi 571-7201 Processor PCB Board OPSEQ11 I-900SRT Used Working
      Hitachi ZVV036-0 Processor PCB Card I-900 CLOCK1_2 I-900SRT Used Working
      Hitachi ZVV016-1 Processor Board PCB Card EXBF2 I-900SRT Used Working
      Sumitomo Electric 52131001C Drive Board DRIVE-Z12 PCB Card Nikon NSR Used
      Hitachi ZVV032-0 Processor PCB Card I-900 VINP2 I-900SRT Used Working
      Varian 951-5227 Pneumatic Stainless Steel Swing Gate Valve CF600 Used Working
      Jenoptik 4022.456.0075.2 ASML Twinscan AT:1100 Lens 193nm SP1/1443/44 New
      Hitachi ZLJ070 Processor PCB Card I-900 CHPMEM2 I-900SRT Used Working
      Hitachi ZVV035 Processor PCB Card I-900 CLOCK3_2 I-900SRT Used Working
      Electroglas Pneumatics Module Assembly Complete 200mm 4085X Horizon Used Working
      Electroglas Wafer End Effector Lift 247012-001 200mm 4085X Horizon Used Working
      Electroglas 253822-001 Edge Sensor Inker 244325-001 200mm 4085X Horizon Used
      AMAT Applied Materials 0100-35148 Two Chamber Gas Backplane Board Rev. P1 Used
      TEL Tokyo Electron 281-500102-3 Slave CPU Board PCB Card 208-500102-3 Used
      Nikon Elevator NSR-S307E Used Working
      Filter Pump Industries 12C-12 Filter Chamber New
      Nikon 4S018-473-2 PCB RL-LIBHT1 NSR-S202A Used Working
      Electroglas RMHM4 Controller Horizon 4085X Used Working
      Electroglas Horizon 4085X Visual Inspection Module Used Working
      Lam Research 715-495014-001 Liner Transition Manifold Adapter
      Mykrolis QCCZATM01K Filter Quickchange ATM Chemlock 0.05μm Prewet
      ASM 02-338053D02 ASSY-AUTODOOR MECHANISM RH
      TEL Tokyo Electron 1D10-202204-12 Upper Electrode Unity-II 200mm ACECO S33-960
      Kokusai Electric Vertron DJ-825V Load Robot
      BLUE J  Elevator
      PARKER N0703FE-NMSB Brushless Servo Motor N0703FE-NMSB & RT90-015-021LB Gearhead
      AMAT Applied Materials 0190-40071 INTERFACE CARD
      Lam Research 715-495014-001 Liner Transition Manifold
      ASM 02-187762D01 ASSY-DYNAMIC PRESSURE REGULATOR-LH
      ASM 04-332828-03 KIT-BLADES-STEPPED-INTMD INJ PLT-STM
      ASM 16-183598D01 STOP-TEACH
      GE FANUC IC693CMM321-HJ CMM321 Ethernet Interface
      Cyber Optics ROX-43 HAMA Wafer Mapping Sensor New
      CTI-Cryogenics 8052000 Cryogenic 8300 Compressor Used Tested Working
      ASM / SPENDRUP FAN COMPANY 46-106737A29-R / 140-10-FPHE BLOWER-AIR AXIAL DDR
      ASM Advanced Semiconductor Materials 54-123388A45-R Controller XPE Refurbished
      Varian Semiconductor Equipment X4064200 Implanter Bearing Assembly Refurbished
      Mydax 1VL5WB Chiller Hitachi M-511E Etcher System Tested Not Working As-Is
      Lam Research 716-052311-002 Inner Arm Spatula End Effector New
      Pentagon Technologies D116049 F V MRC PVD Cu Filler Ring 633000404 New 
      CTI-Cryogenics 0190-27355 On-Board P300 Cryopump with 8113192G001 Used Working
      Nikon 4S007-668-A Process Control Board PCB FIAAF-PROCESS-A NSR-S204B Used
      Nikon 4S007-667-A Process Control Board PCB FIAAF-PROCESS-D NSR-S204B Used
      AMAT Applied Materials 200mm Wafer Stage Plate Orbot WF 720 Used Working
      JEOL MP002897(01) L PANEL 1 PB Board PCB Assembly MP002962-00 JEM-2010F Used
      Pro-Face GP377R-TC41-24V HMI Graphic Control Panel GP377R-MLTE41 Used Working
      Nikon IU-RA Optical Assembly IU-RT NSR-S204B Beam Matching Unit Untested As-Is
      Daikin DFS509TC1BR Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU Used
      Daikin DFS509TC1BL Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU Used
      Lam Research 853-012350-002-H-LL FDR Outer Gate Assembly 4420 Etcher Used
      Lam Research 853-012350-002-J-LLFDR Outer Gate Assembly 4420 Etcher Used Working
      Lam Research 853-012350-002-LL FDR Outer Gate Assembly 4420 Etcher Used Working
      Lam Research 853-012350-002-D Outer Gate Assembly 4420 Etcher Used Working
      Lam Research 853-012350-002--230D Outer Gate Assembly 4420 Etcher Used Working
      MagneTek GPD-506 E145918A AC Frequency Drive Used Working
      Lam Research 12350-2-D-00622135 Outer Gate Assembly 4420 Used Working
      Lam Research 853-012350-002-F-230D Outer Gate Assembly 4420 Etcher Used Working
      Crown Regulator Valve ERSB-2019-WX Lot of 15 Used Working
      Nikon 4S085-431 Carrier Module Board 4S019-327 PCB Card NSR-S307E Used Working
      AMAT Applied Materials 0010-20422 Endura J Shield Treatment 8in
      Nikon 4S007-668-A Circuit Board PCB FIAAF-PROCESS-A 4S008-092 NSR-S204B Used
      Nikon 4S007-667-A Circuit Board PCB FIAAF-PROCESS-D 4S008-092 NSR-S204B Used
      KLA-Tencor 0038289-002 Circuit Board PCB Rev. AB AIT UV Used Working
      Sanritz Automation SVP501-3-P10 PCB Card SC8408M-B-I-S Used Working
      Omega RD6111 Single Channel Flatbed Recorder AC/DC Voltages & Currents New
      Air Products 287-607997 Monochrome Display Kit 
      Carl Zeiss 45 74 92 AF Controller
      ASM 16-190115U01 Plate RC Base Refurbished
      Nikon 4S007-667-A Relay Control Board PCB FIAAF PROCESS-D NSR-S202A Used
      ENGIPLAST INCORPORATED A194-60MB-PF-TT TURNTABLE PVDF POLYFLOW
      Nikon 4S007-668-A Relay Control Board PCB FIAAF PROCESS-A NSR-S202A Used
      MRC Materials Research Corporation Hard Etch Bump Kit 150mm Refurbished
      Nikon 4S025-340-1 Interface Control Card PCB STGX8_HT NSR-620D Used Working
      IDI 201D IDS Controller Photoresist 3-Card Faulty Card Used Tested Working
      TEL Tokyo Electron E281-000016-41 E208-000016-22 E281-000017-41 T-3044SS Used
      Oriental Motor PMD03C VEXTA Mini Stepping Driver PCB Lot of 11 Used Working
      Nikon 4S019-115 Circuit Board PCB WLCR I/F NSR Used Working
      Nikon NSR 4S007-668-A FIAAF Process B Board PCB Used Working
      Nikon 4S007-667-A FIAAF Process Board PCB NSR Used Working
      Lam Research 853-012350-002 Outer Gate Assembly Rev. H 4420 Etcher Used Working
      Edwards D37207000 Vacuum Pump Electrics Module Used Working
      Agilent N1204C Precision Horizontal Beam Bender ASML 4022.481.25862 Used Working
      Lam Research 853-012350-002-J Outer Gate Assembly Used Working
      Nikon 4S018-915-1 Interface Board PCB SP_I/F NSR-S307E Used Working
      Nikon 4S013-363 Interface Board PCB BLECX4 NSR-S205C BMU Used Working
      Foxboro B1279EN CTR Processor Board PCB ASM 02-187742-01 Used Working
      Nikon 4S019-115 Interface Board PCB WLCR-I/F NSR-S307E Used Working
      JAE 4400-1100 6-Axis Vibration Measurement Unit Nikon 4S585-505 NSR System Used
      MRC Materials Research Hard Etch Bump Kit 150mm Eclipse Star Refurbished
      Nikon 4S018-917-1 Y-Axis Interface Board PCB Y_I/F2 NSR-S307E Used Working
      Nikon 4S005-344 Interface Board W/ Sensor PCB AF-SENSOR21 NSR System Used
      Horiba STEC SEC-4400M Mass Flow Controller MFC 51-123117A53 500 SCCM SiH2Cl2 New
      Celerity FC-261KZ-4V Mass Flow Controller 54-106902A24 20 SLPM HCl Refurbished
      Therma-Wave 14-004053 Data ACQ MK PCB Card Used Working
      STEC SEC-4500M Mass Flow Controller MFC 54-123117A18 10 SLM H2 Refurbished
      Celerity UFC-1660 Mass Flow Controller 1661-100616 1660 500cc HCl Refurbished
      Bronkhorst P-502C-FAC-89-P Pressure Meter 830069380 EL-PRESS 400Torr New
      Qualiflow AFC 202 NC Mass Flow Controller MFC AFC-202 200 SLM N2 New
      MKS P5A869503H6T021 Mass Flow Controller MFC 5000 SCCM 20% O3/O2 New
      Aera FC-7800 Mass Flow Controller MFC ASM 54-123806A15 500 SCCM HCl New
      Lintec LM-2212A-NN-22VR1DJM02 Flow Meter 1033-867-01-R Z(ATRP)4g/min Refurbished
      TEL Tokyo Electron CPC-T0060A-11 Board, IO CSB #02 TOB1060 Used Working
      Celerity PSRBD200 Mass Flow Controller MFC IN2 500 SCCM N2 Refurbished
      Celerity FC-260V-4V Mass Flow Controller 54-106903A54 IN2 200SCCM H2 Refurbished
      Celerity UFC-1600 Mass Flow Controller 54-123498A58 1660 100cc SiH4 Refurbished
      SMC XLA-160DA-M9BA High Vacuum Valve Assembly AMAT 0090-01100 New
      Eaton Ion Beam Systems 1158560 DC Power Supply Module Used Working
      Lam Research 853-012350-002-J-LLFD Outer Gate Assembly 4420 Etcher Used Working
      Lam Research 853-012350-002-F-230S Outer Gate Assembly 4420 Etcher Used Working
      Contec PC-586U(PC)-LV Single Board Computer 32MB 133MHz Nikon OPTISTATION 7 Used
      Lam Research 853-012350-002-H-230 Outer Gate Assembly 4420 Etcher Used Working
      KLA-Tencor 781-23234-001 Aperture Heated Rod Used Working
      KLA-Tencor 781-23234-002 Aperture Heated Rod Used Working
      Unit Instruments UFC-1110A Mass Flow Controller MFC 1100A 20 SLM N2 Refurbished
      Qualiflow AFC261 Mass Flow Controller 54-117817A05 AFC-261 20 SLM H2 Refurbished
      Horiba STEC SEC-Z514MGX Mass Flow Controller MFC 1041-152-01 100 SCCM N2 New
      AMAT Applied Materials 0200-00410 Quartz GDP (Y203) (Super-E Kit) New
      TEL Tokyo Electron B31D16-000050-11 GAS BOX UME-ARDC-PC2 New
      NDS Surgical Imaging 20X0212-D Touch Screen Monitor CM-X15/AMRMS Used Working
      Pentagon Tech Quartz Bell Jar Q15-0130 New
      Spectra-Physics 7300C-Y4 / 7310 7300 Laser Diode Module w/ 7310 Remote
      Astec Ampss AA80M-300L-015S Power Module Reseller Lot of 13 New
      Keithley Instruments 595 Quasistatic Capacitance Voltage CV Meter Used Working
      Novellus Systems 02-10511-00 Module Controller 486/50/8M Concept 2 Altus Used
      Tescom 44-3213H282-296 Manual Pressure Regulator Brass 44-3200 Lot of 6 Used
      ASM 1034-770-01 46-06-01 ENCLOSURE-GAS PANEL REAR FIRE SUPPR
      AMAT Applied Materials 0020-24804 Cover Ring New
      ASM 02-331710D01 ASSY-AUTODOOR-LH-MOTOR/SWITCH
      ASML 859-0743-018 Digital Focus PCB A1208 Used Working
      IDL Semiconductor Equipment 8790135003 Unload Arm Used Working
      VAT 02010-BA24 Pneumatic High Vacuum 12" Slit Valve Used Working
      VLSI Standards SHS-8 8.16μm Step Height Standard Metrology Calibration Tool Used
      Motorola 0734000 Processor PCB Card VME 340B 84-W8787B01C Used Working
      VLSI Standards 917? Step Height Standard Metrology Calibration Tool Used Working
      Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working
      Yaskawa SGMCS-08DDA-TE12 AC Servo Motor TEL Tokyo Electron Lithius Used Working
      VLSI Standards SHS-880 QC Step Height Standard Metrology Calibration Tool Used
      VLSI Standards 1.79μm Step Height Standard Metrology Calibration Tool Used
      VLSI Standards SHS-1.8 Step Height Standard Metrology Calibration Tool Used
      VLSI Standards SHS-50.0 Q Step Height Standard Metrology Calibration Tool Used
      VLSI Standards SHS-880 Step Height Standard Metrology Calibration Tool Used
      VLSI Standards 9218? Step Height Standard Metrology Calibration Tool Used
      AMAT Applied Materials 0010-19010 300mm Motorized Lift Assembly 0190-07643 Used
      MKS Instruments 152H-P0 Pressure Controller Set 122AA-00010DB Baratron Used
      Intel PBA 115970-008 Multibus PCB Card MRC Eclipse Star Used Working
      KLA Instruments 710-653699-20 MIB PCB Card 073-500100-00 2132 Used Working
      D4B TRIVAC Leybold 305833-1003 Rotary Vane Mechanical Vacuum Pump Used Tested
      Intel PBA 143461-015 Single Board Computer 88/40A PCB MRC Eclipse Used Working
      AMAT Applied Materials 0100-00093 Stepper Drive PCB VME Card Used Working
      THK KR55 Linear Rail LM Guide Actuator Hitachi M-511E Plasma Etcher Used Working
      Hitachi DC Power Supply Unit Microwave Plasma Etching System Used Working
      Lam Research 810-057067-003 Interlock Flow PCB Card Assembly 810-57067 New
      KLA-Tencor 740-607107-003 Upper WIEN Electric PCB Card 710-609015-01 eS20XP Used
      KLA-Tencor 710-611536-000 Eltron Power Supply PCB Card eS20XP E-Beam Used
      KLA-Tencor 710-611538-000 Subrack #1 Main Power PCB Card eS20XP Used Working
      KLA-Tencor 710-611725-002 Utility Connector Board eS20XP E-Beam Used Working
      Schlumberger 97861135 IFA2 PCB 40861135 IDS 10000 Used Working
      AMAT Applied Materials 0270-01156 Robot Calibration Tool 300mm E-Chuck New
      KLA-Tencor 710-611539-000 Relay Power Supply PCB Card eS20XP E-Beam Used Working
      KLA-Tencor 710-611534-000 SIB Power Supply PCB Card eS20XP E-Beam Used Working
      KLA-Tencor 710-613985-000 Magnetic WIEN Power PCB 710-613085-000 eS20XP Used
      KLA-Tencor 710-611529-000 Subrack #3 Main Power PCB Card eS20XP Used Working
      Schlumberger 97851134 Image Processor PCB Card 97847531 IDS 10000 Used Working
      Force Computers 220169 Single Board Computer SYS68K/CPU-30BE/8 Quaestor Q5 Used
      KLA-Tencor 740-607108-005 Lower WIEN ELECT I PCB Card eS20XP Used
      KLA-Tencor 740-612539-000 Electric WIEN 24V PCB Card eS20XP E-Beam Used Working
      KLA-Tencor 710-613984-000 Laser Power Supply PCB Card eS20XP E-Beam Used Working
       KLA-Tencor 740-615460-004 High Voltage Power Supply eS20XP E-Beam Used Working
      KLA-Tencor 0031469-000 System Computer CRS-3000 Confocal Review Station Used
      KLA-Tencor 740-614417-000 WIEN V Power PCB Card 710-612697-000 eS20XP Used
      VAT 02012-BA24-AJG1 Pneumatic Slit Valve KLA-Tencor eS20XP E-Beam Used Working
      KLA-Tencor 710-613083-000 LENS Power Supply PCB Card eS20XP E-Beam Used Working
      KLA-Tencor 710-611540-000 Subrack #2 Main Power PCB Card eS20XP Used Working
      Novellus 02-834310-00 Module Controller P100/16M Concept II Altus Used Working
      MueTec KH-1 Full Tilt Station SVG Lithography Step and Scan System Complete
      Mykrolis QCCYATE01K QuickChange ATE Chemlock Cartridge New
      Digital View AV-7010-0120-A4 Display Screen Monitor Used Working
      S2S Electronics SAF303-045 Softdrive Assembly PX99J Used Working
      Lambda LRS-50-15 AC-DC Switching Power Supply Lot of 14 Used Tested Working
      Yokogawa DL3110B 12bit 25MS/s Digital Oscilloscope 7003-10 lot of 2 as-is
      Watlow TF101-2-1 RF Window Film Heater Thermal Chamber Substrate 200mm New
      Toshiba Ceramics 6621000-6665232 150 Slot Wafer Holder New
      Edwards 17-133801-00 (Ti) Clampless Deposition Shield New
      THK GL15S16+400-C Linear Actuator 400MM Stroke New
      Koganei MRSP20X500 500mm Linear Actuator new
      Unaxis Balzers 41100-92238-010 Outer Chamber Liner New
      Eurotherm 461 082/29/19/ENG/060/002/-/-/-/-//00/ Thyristor Unit 500V 25A New
      Edwards 15-117029-00 (TiN) Dark Space Ring Shield Refurbished
      Nikon 4S064-630 NSR Series Controller IU-IOP1 Working
      Particle Measuring Systems VacuLaz Interface Module FiberVac Used Working
      Hitachi 560-5501 NCOLDCPS Power Supply Assembly S-9300 SEM Used Working
      JDS Uniphase 211A-20SLAT Laser Power Supply Used Working
      Baldor BSM80N-375BA Brushless AC Servo Motor Gerwah Gearhead AKN 60 Used Working
      Nikon Wafer Loader Ceramic Arm Right NSR-S205C Exposure System Used Working
      TEL Tokyo Electron HTE-TA3-A-11 AC Control Board PCB #003 TAB1900 Used Working
      Sanyo Denki PMM-BD-57025-1 Motor Driver Pentasyn Used Working
      Baldor BSM80A-375BA Brushless AC Servo Motor Gerwah Gearhead AKN 60 Used Working
       Nikon Wafer Loader Ceramic Arm Left NSR-S205C Step-and-Repeat System Used 
      Nikon 4S019-235 WL Sub Breaker 4S018-908-1 Nikon NSR System Used Working
      Nikon Wafer Loader Ceramic Arm Left NSR-S205C Exposure System Used Working
      CTI-Cryogenics 0190-07384 Network Terminal 1/2 PNL On-Board Used Working
      Nikon 4S008-033 PR1DRV Servo Driver Board PCB 4S008-033-PR1DRV Used Working
      MDC Materials Development Corp 490 QuietChuck DC Controller Used Working
      Komatsu Electronics 20001900 Circulating Pump MCE-83WS TEL Lithius Used Working
      Komatsu 20001900 Circulating Pump MCE-83WS TEL Lithius No Connector Used Working
      MRC Materials Research A118439 Power Control Module Eclipse Star Used Working
      CTI-Cryogenics 8112898G005 8F Cryopump On-Board 8112582G001 MRC Eclipse Used
      CTI-Cryogenics 8112898G005 8F Cryopump On-Board 8132030G002 MRC Eclipse Used
      Hine Design 04290-201 Process Chamber Elevator Gasonics 94-1118 Used Working
      Hine Design Asyst 04290-101 Load Lock Elevator Gasonics 94-1119 Used Working
      Edwards Exhaust Assembly KF40 Outlet KF25 Inlet Lot of 4 Used Working
      AMAT Applied Materials 0100-00629 Interlock Select 300mm GEN RACK Board PCB Used
      Noah Precision 2005 Tank Bath Fluid Temperature Controller SVG Discolored Used
      Shimadzu EI-281 TMP Turbomolecular Pump Power Source Used Working
      Lam Research 853-012500R-002-E-ELM Edge Sensor Assembly 4420 Etcher Used Working
      Force Computers 880-12535-101 VME Card 810-017038-002 Lam 4420 Used Working
      Lam Research 853-020936-001 Upper Seal Plate Assembly 4420 Used Working
      TEL Tokyo Electron 845 CPL 2985-470922-W1 BASE Sub Unit ACT12-300 Used Working
      Verteq SC1600-5 SRD Control Module 120V Used Working
      Lam Research 853-013610-001-C Solenoid Tray Assembly 4420 Etcher Used Working
      Force Computers 810-017035-001 Rev B SASI Board 880-12536-101 Lam 4420 Used     
      AMAT Applied Materials 9010-00069 Pneumatic Valve Assembly Used Working
      Lam Research 810-017012-001 Heartbeat Board Rev. D 4420 Etcher Used Working
      Lam Research 853-012261-001-4-230S Inner Gate Assembly 4420 Etcher Used Working
      VAT 0751-UA24-0002 Atmospheric Door A-779402 L-VAT New
      AMAT Applied Materials 0100-90790 Wafer Arm Position Sensor XR80 Used Working
      Hitachi 1-829191-01 Inner Chamber Cover UHF New
      Varian S4700304 TriScroll Dry Vacuum Pump Motor Assembly Untested As-Is
      KLA-Tencor 0124592-000 AIT UV Laser Servo Detector with Cable 0051839-000 New
      TEL Tokyo Electron HTE-TA6-D-11 AC Control Board #006 PCB TAB2300 Used Working
      Yaskawa XU-RCM2500T-4 Robot Lot of 2 Nikon KAB11320/201A-4 OPTISTATION 7 As-Is
      Progressive Technologies A48031SSLV-L Pressure Valve SENTRY 1500 Used Working
      Nikon 4S019-003 Interface Card PCB RST-ADPT NSR-S204B Used Working
      Komatsu Electronics ABBBA0011000 Heat Exchanger Power Supply GR-712 Used Working
      Nikon 4S007-855-1 Interface Control Board PCB WL3CR NSR-S204B Used Working
      Nikon 4S008-174 Relay Control Card PCB AF-PSDX24-SUB NSR-S204B System Used 
      AMAT Applied Materials 0190-15591 Processor Card 3181-00-061-A0 Ver. 2.1 Used
       A-B Allen Bradley 96844671 PLC-5/12 Processor Module PLC PCB Card 1785-LT3 Used
      Nikon 4S019-029-1 Processor Control Board PCB PRE1_I/F Used Working
      Nikon Relay Lens Optic NSR-S205C BMU Beam Matching Unit Used Working
      Mykrolis QCCYATM01K Filter Catridge QuickChange ATM Chemlock 0.03μm New Surplus
      Meiden QPC310/A SBC Single Board Computer PCB Card QPC410/A Used Working
      AMAT Applied Materials 9090-00790 Resistor Quantum X Used Working
      Nikon BMU Shutter NSR-S204B BMU Beam Matching Unit Used Working
      Nikon 4S018-926 Circuit Board PCB MTR-CTRL NSR-S205C Used Working
      Nikon 4S065-474 Interface Control Card 4S008-292 Nikon NSR System Used Working
      TEL Tokyo Electron HA-030 DC/DC CONV #07 Board PCB Lithius Used Working
      A-B Allen Bradley 96844675 PLC-5/12 Processor Module PLC PCB Card 1785-LT3 Used
      SMC INR-244-271A Controller Assembly 4TP-1A860 TEL Tokyo Electron Lithius Used
      KLA Instruments 6001755-03 DP Video PCB Card TEL 3281-000051-11 P-8 Used Working
      GaSonics A90-005-06 Controller Board PCB A89-005-01 FabMation Aura A-2000LL Used
      Cleveland Motion Controls BMR2005TCD00CEA001 PM Servo Motor RHS-20-50-CC-SP Used
      GaSonics 90-2658 Controller Board PCB A89-005-01 Rev. A A-2000LL Used Working
      GaSonics 90-2658 Controller Board PCB A89-005-01 Rev. C A-2000LL Used Working
      Opal 50312640100 ASA Assembly AMAT Applied Materials Used Working
      MDC Stainless Steel Vacuum Flex Bellows Pipe Tubing 5-6” Lot of 43 MKS Used
      Bio-Rad PMM40000 Pneumatic Handler Module Quaestor Q5 Used Working
      GE Fanuc GQPI31200E2P-B Monochrome 9" Display QUICKPANEL II Used Working
      Bio-Rad PMM10000 Pneumatic Wafer Aligner Module Quaestor Q5 Used Working
      GD California SYS68K/SIO-2 VME PCB Card Lam 810-017038-002 Rev. C Used Working
      Bio-Rad Y7653000 Pneumatic Handler Module Quaestor Q5 Used Working
      ASML 4922.470.0884 TUR ROT Motion Control Box ASML Reticle Handler Used Working
      Inficon LTSP-600 8-Channel Power Supply LTSP-75W LSTP-03W LinearTec Used Working
      Lam Research 832-038915-001 RF Match Network Poly Etch Autotuner As-Is
      Inficon HPR-1100 Transpector Residual Gas Analyzer Assembly Used Working
      ASML 4922.470.0892 TUR Remote Control Box ASML Reticle Handler Used Working
      CTI-Cryogenics 8116250G002 On-Board P300 Cryopump with 0190-27354 Used Working
      VAT 12144-PA24-AFT1 Pneumatic Gate Valve ISO-160 Used Working
      SVG 90S Fluid Temperature Station 99-80266 Station CPU PCB Used Working
      Taiyo Machinery BLL-308 Front Opening Unified Pod FOUP TEL Lithius Used Working
      KLA-Tencor 521-0201 Circuit Board PCB MRV2/VID AIT Used Working
      Johnson Controls 97121400 Low Voltage Heat Exchanger DX-9100-8454 Used Working
      Kondoh Kohsya LM-101 Controller Assembly DSM-007 Used Working
      Ultrapointe 000276 Spectrometer Assembly Fiber Optic Reflection Box Used
      Logitech Diamond Smoothing Block 316 1ACCA-01710 New Surplus
      SVG 90S Fluid Temperature Station 99-80266 Station CPU PCB Rev. M Used Working
      Lam Reaserch 852-017500-001-D CRT Keypanel Chassis 4420 Etcher Minor Damage Used
      Millipore Tylan FC-2979MEP5 Mass Flow Controller Assembly Lot of 8 Used Working
      Sony LMD-1040XC Multi Input LCD Monitor Used Working
      Nikon Robot Elevator Module NSR-S204B Used Working
      TEL Tokyo Electron 2985-490048-W8 Motor Flange Assembly ACT12 Used Working
      TEL Tokyo Electron Develop Process Station Air Valve Assembly ACT12 300mm As-Is
      AMAT Applied Materials Model 4020 Relay Module Aerotech XR80 Untested As-Is
      Kokusai D1E01223B SCOM2A A/2 Board Zestone DD-1203V Used Working
      TEL Tokyo Electron 2985-406661-W3 Left Nozzle Arm Assembly ACT12 Used Working
      TEL Tokyo Electron Develop Process Station Sliding Door Set of 4 ACT12 Used
      Nikon 4S014-160A Linear Pulsemotor Control Board PCB D2810 Nikon NSR-S204B Used
      KLA-Tencor 002001 Camera Lens Filter Assembly 237500-412 CRS 2000 Used Working
      Nikon Monocular Lens Assembly NSR-S204B Used Working
      Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used Working
      Nikon Linear Wafer Handler NSR-S204B Step and Scan Used Working
      Nikon T-EXX3-05806 Lens Assembly NSR-S204B Used Working
      Machine Technology 2217605061 MINI I/O II Board Used Working
      TEL Tokyo Electron 2985-406657-W1 DEV SCAN (12L) ASSY Left ACT12 300mm As-Is
      CTI-Cryogenics 8116250G001 On-Board P300 Cryopump 8113160G001 Dented Array As-Is
      CTI-Cryogenics 8116250G001 On-Board P300 Cryopump 8113160G001 Residue As-Is
      CKD SCA2Q-CA80B79.2H-FL Air Cylinder Hitachi 3-839347-A New
      TMP Shimadzu EI-3203MD Turbomolecular Pump Controller 2.0K Tested Used Working
      TEL Tokyo Electron 7100-0577-07 Photoelectric Sensor Assembly 7200-0310-01A New
      KLA Instruments 710-806050-01 IP Video PCB Card TEL 3281-000050-11 P-8 Used
      Yaskawa SGMAS-12ABA-TE12 AC Servo Motor TEL 5085-407038-11 PRA Lithius Used
      Nikon 4S018-214-1 Interface Board PCB LM.I/F NSR-S204B Step-and-Repeat Used 
      Edwards PT35-V5-B05 STP Turbomolecular Pump TMS Cable 10M Used Tested Working
      Osaka Vacuum TG-M Series Turbomolecular Pump Cable 40' 12M Used Tested Working
      Nikon 4S007-787-2A Interface Board PCB AVIS-I/F2 NSR-S204B Step-and-Repeat Used 
      Nikon 4S018-679 Circuit Board RL-LIBHT2 NSR-S204B Untested As-Is
      Edwards B65354000 Pneumatic Gate Valve GVI100P GVI 100P Used Working
      QMB250 Edwards QMB250F Mechanical Booster Pump Refurbished
      Nikon 4S007-945 Interface Board PCB STGX3A NSR-S204B Step-and-Repeat Used 
      TMC Micro-g Gimbal Piston Isolators Set of 5 JEOL JEM-2010F TEM Used Working
      ASML 4022.437.1121 Laser Phase Modulator Box Used Working
      CTI-Cryogenics 8116250G002R On-Board P300 Cryopump 8113212G001R Copper Used
      ABB TB82PH1010300 2-Wire pH/ORP/pION Monitor Transmitter TB82 New Surplus
      MKS 146B-13510 Vacuum Gauge Measurement Control System Used Tested Working
      KLA-Tencor Optical Lens Mirror Housing Assembly 730-404395-00 5107 Used Working
      RPS Radiation Power Systems HA-UPR500C Short Arc Pulsing Regulator Used Working
      Electroglas 247213-003 Main System Board PCB Card 4085x Horizon Used Working
      Ultratech Stepper 01-15-08204 Utility Panel 2244i Photolithography Used Working
      KLA-Tencor Microscope Optics Stage Assembly 730-450565-00 5107 Used Working
      AMAT Applied Materials 9090-00979 Electrode Assembly 3X2H Used Working
      FEI 4022.192.71114 Circuit Board SCDR FEI XL-830 Used Working
      ASML 4022.262.3171 Power Supply Rev BD2 FEI XL-830 Used Working
      Qcept 6200-2069-01 Power Supply PM3327A-6-1-2-C ChemetriQ-3000 Portal Used
      FEI 4022.192.91683 Circuit Board DLCB/SN 7151 2 FEI XL-830 Used Working
       Hitachi DC Power Supply Unit Hitachi M-712E Used Working
      Alcatel 2005 SD Dual Stage Rotary Vane Vacuum Pump Used Tested Working
      Hitachi Chamber Vacuum Pump1 Controller M-712E Used Working
      ASM Advanced Semiconductor Materials 2301555-01 TCU Pump Assembly As-Is
      ASM FEMS 1006-522-01 NeoStream Fan Filter Unit CKC855-AN01 New
      Daihen HFA-50A-V RF Match Used Working
      Bio-Rad Y760/000 Wafer Aligner Module Quaestor Q7 Used Working
      Lam Research 853-011661-001-H Pedestal Cylinder Lift 4420 853-011632-001 Used
      Yashibi DC Power Generator YCC-18K-X Refurbished
      Accent Optical Technologies PMM40000 Handler Module MC/2488 Used Working
      Lam Research 853-020936-002-C-CHFDER Upper Seal Plate Assembly 4420 Used Working
      Bio-Rad PMM4000 Handler Module Quaestor Q7 Used Working
      Nikon 9700-4703-01 POD Advance Lead Screw Assembly New Surplus
      Kollmorgen 1000-0115-00 4-Channel Magnet Driver AMAT 0190-36315 New
      MRC Materials Research 884-29-000 Control Board 3MI PCB Used Working
      JEOL MP002899(01) R PANEL 1 PB Board PCB Assembly MP002962-00 JEM-2010F Used
      FEI Company 150-002620 Aperture Motor Amplifier CLM-Motion Chassis PCB Used
      VAT 07512-UA44-0002 Pneumatic Atmospheric Door FEI Company CLM-3D Used Working
      Schlumberger Technologies 97913172 HCDPS Board PCB Card 40913172 Used Working
      JEOL MP003470-01 MOTOR DRIVE Board PCB Card TILT Y TA JEM-2010F Used Working
      JEOL MP002406-02 CAMERA ITF PB Interface Board PCB Card JEM-2010F Used Working
      JEOL MP002902(02) CRT DSPL ITF PB Interface Board PCB Card JEM-2010F Used
      FEI Company 150-002650 CLM/FIB Blanker Assembly PCB Card CLM-3D Used Working
      Nikon Reticle Exchange Assembly REX NSR-S204B Step-and-Repeat System Used 
      TEAL 3850046 Power Conditioner PCDU-ROBOTSERVO FEI Company CLM-3D Used Working
      VAT 02112-BA24-0001 Rectangular Gate Valve MONOVAT Series 02 Used Working
      Edwards B65251000 Pneumatic Gate Valve GVI 63P Used Working
      Nikon 4S008-152 Isolated DC/DC Converter Board PCB PRE2 PWSEP Used Working
      JEOL MP003470-01 MOTOR DRIVE Board PCB Card TILT X TA JEM-2010F Used Working
      JEOL MP003471-02 MOT SHIFT DR PB Board PCB Card SHIFT Y TA JEM-2010F Used
      JEOL MP003117-01 MOT DR ITF PB Interface Board PCB Card JEM-2010F Used Working
      JEOL MP002901(00) Panel ITF PB Interface Board PCB Card JEM-2010F Used Working
      FEI Company 4035 272 27021 DCEM Controller PCB Card 4035 272 35261 CLM-3D Used
      FEI Company 150-002581 CLM SEM_EOCU Deflection Amplifier PCB Card CLM-3D Used
      Nikon Irradiance Illumination Uniformity Sensor NSR-S204B System Used Working
      JEOL MP003083(00) PIRANI PB Vacuum Interface Board PCB JEM-2010F Used Working
      FEI Company 150-002570 Amplifier Board PCB Card CLM-3D 200mm CLM Used Working
      JEOL MP002817-00 ASID ITF PB Interface Board PCB Card EM-24015BU JEM-2010F Used
      JEOL MP002698-00 CM FC ITF PB Interface Board PCB Card EM-2010F Used Working
      JEOL MP002768-02 HT VAC ITF PB Interface Board PCB Card EM-2010F Used Working
      JEOL MP003470-01 MOTOR DRIVE Board PCB Card TA JEM-2010F Used Working
      JEOL MP0021742-01 DEF LENS ITF PB Interface PCB Card EM-2010F Used Working
      JEOL MP002402-01 RE ITFPB Interface Board PCB Card EM-2010F Used Working
      FEI Company 150-002580 CLM SEM_EOCU Deflection Amplifier PCB Card CLM-3D Used
      Micrion 150-002560 CLM-SERVO Controller Power Converter Board PCB Card FEI Used
      TEL Tokyo Electron HTE-IFA-B-W1 IFB Add On Board PCB TAB5300-W ACT12 Used 
      Edwards B65251000 Pneumatic Gate Valve GVI 063 P FEI Company 160-009450 Used 
      Nikon 4S018-169-F Processor Board PCB ALG-INF NSR-S204B Step-and-Repeat Used
      FEI Company Facilities Panel Pneumatic and Water Assembly CLM-3D Used Working
      JEOL MP003619-00 KYBD ITF PB Interface Board PCB Card JEM-2010F Used Working
      JEOL MP002407-03 FILM CRT ITF PB Interface Board PCB Card JEM-2010F Used
      JEOL MP003169(01) Data Memory PB Board PCB Card EM-2010F Used Working
      JEOL MP002403-01 RS RE ITF PB Interface Board PCB Card EM-2010F Used Working
      FEI Company 4022 192 9627 DRCU Detector Rack Control Unit PCB Card CLM-3D Used
      Cherokee Europe PE3168/70 Rack Mount Power Supply FEI Company CLM-3D Used
      Grundfos CRN5-10 B-P-G-E-HQQE Pump A96581463P20527 AMAT Quantum X Used Working
      Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V22.04 Used Working
      AMAT Applied Materials ADS-432-303 RF Amplifier PCB Card Orbot WF 736 DUO Used
      RadiSys 504802-008 Single Board Computer pSBC 386/258 U43L-2 Orbot WF 720 Used
      Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V13.00 Used Working
      Edwards D37208000 iQDP40 Vacuum Pump Electrics Module Warning 5413 Tested Used
      Grundfos CRN5-10 A-P-G-E-HUUE Pump A96511917P10444 AMAT Quantum X Used Working
      JEOL MP003471-02 MOT SHIFT DR PB Board PCB Card SHIFT X TA JEM-2010F Used
      RadiSys 68-0061-10 Single Board Computer SBC 386/258 U43L-3 Orbot WF 736 Used
      RadiSys 504802-008 Single Board Computer pSBC 386/258 U43-0 Orbot WF 720 Used
      Nikon Power Unit Roll Around Power Supply OPTISTATION 3 Used Working
      KLA-Tencor 0081472-002 APS Control Board PCB 0030269- AIT UV Used Working
      Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V20.04 Used Working
      Nikon 2S700-583-1 CCCNT Board PCB Card 2S014-036-4 V2.23 OPTISTATION 3A Used
      iQDP40 Edwards A400-91-905 Dry Vacuum Pump Untested For Parts or Repair As-Is
      RadiSys 68-0061-10 Single Board Computer SBC 386/258 U43L-2 Orbot WF 736 Used
      Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 V1.20 OPTISTATION 3A Used
      iQDP40 Edwards A532-40-905 Dry Vacuum Pump Untested For Parts or Repair As-Is
      Datacube MAX VIDEO 20 Architectural Adapter 260-0599 PCB Card Orbot WF 720 Used
      RadiSys 68-0061-10 Single Board Computer SBC 386/258 U43L-4 Orbot WF 736 Used
      RadiSys 504802-008 Single Board Computer pSBC 386/258 U43L-4 Orbot WF 720 Used
      100P Leybold E 13874 Dry Vacuum Pump DRYVAC Used Untested As-Is
      SDL SDL-5411-G1 Laser Wavelength Electronics FPL-250 KLA-Tencor 64070 Used
      National Instruments 181445K-01 Controller with PI Actuator M-224.50 Used
      Novellus 02-169194-00 Robot Linear Track UDK5214NW RM26A3S Used Working
      Pearl Kogyo ESC-2000E Power Supply ±1000V 7mA Hitachi MU-712E Used Working
      Lam Research 853-140013-001-3-230S Outer Gate Valve Assembly 4420 Used Working
      Lam Research 853-013610-001-E-C364 Pneumatic Manifold Assembly 4420 Etcher Used
      Lam Research 853-140013-001-1-230D Outer Gate Assembly 4420 Etcher Used Working
      Lam Research 853-013610-001-E-LEAN Pneumatic Manifold Assembly 4420 Etcher Used
      AMAT Applied Materials 0150-97185 Electrode 0040-01275 Quantum Leap 3 Used
      Force Computers 100611 VME Card SYS68K/CPU-6VB Rev. 4.1 Lam 810-17034-300-1 Used
      Tachibana Tectron TVME2300 Memory Board VMEbus PCB Card Rev. A Used Working
      Orion Machinery ETM832A-DNF Power Supply PEL THERMO Used Working
      Hiranuma Sangyo COM-555PH CADS Drain Wash Controller Used Working
      Kokusai CX1229-1 Mechanical Controller DNS Dainippon Screen FC-3000 Used Working
      Nikon Cassette Elevator NSR-S307E Used Working
      AMAT Applied Materials 0100-20353 Light Tower Operation Panel I/O Block Working
      Force Computers 880-12537-101.A3 PCB Card SYS68K/CPU-6VB Used Working
      TEL Tokyo Electron 012-004123 Slit Valve Unity II Used Working
      ASML 4022.471.6909 PSU Monitor Board PCB VME Card 4022.471.69101 Used Working
      CFM Technologies C22111-01 32x32 Interlock Board PCB Used Working
      Lam Research 853-190023-001 Controller Assembly 272072-00 Lam Rainbow 4420 Used
      Force Computers 300000 PCB Card SYS68K/SASI-1 100108 Lam Rainbow 4420 Used
      VAT 62034-KA18-1005 Angle Valve 26324-KA11-1001 lot of 6 working
      Force Computers 310004 PCB Card SYS68K/SIO-2 Lam Research 4420 Rainbow Used
      Material Support Resources Vertron DD803V T Shaped Cold Trap New
      AMAT Applied Materials 3320-01026 10 CFF OFC Copper Gasket Lot of 5 New
      TEL Tokyo Electron 012-004124 Slit Valve Unity II Used Working
      Blue Wave Systems BDV44 PCB VMEbus Card ASML 4022.470.6181 4022.471.5852 Used
      Advanet A6PCI 7500 CPU PCB Nikon NSR S307E Used Working
      Extreme Networks 800013-00-14 Summit48 15000 Summit24 13011 Lot of 13 Used
      MSR Material Support Resources DD803V Vertron Assembly Kit New
      Force Computers 880-12535-101.A1 VME PCB Lam 810-017038-002 Rainbow 4420 Used
      Heidenhain 370 666-S2 Fiber Optic VME PCB Card HIB 186 ASML 4022.472.12941 Used
      RECIF Technologies Sorter Computer IDLW8-A9607 Used Working
      MSR Material Support Resources DD803V Vertron Inlet Poly Flange New
      Nikon 4S018-918-PRE1_I/F2 Control PCB NSR S306C Used Working
      ASML 4022.470.6295 RH Handling Box SHB-RH Nikon NES1 Mini Stepper Used Working
      ASML 4022.470.0889 CCM Remote Control Box 4022.470.2334 Nikon Reticle Used
      Millipore W2501PH02 Photoresist Pump Missing Parts Untested As-Is
      Nikon 4S018-920 PCB Card Component Box NK8601A NSR-S307E Used Working
      ASML 4022.470.0903 FRM Remote Control Box Nikon Loader Used Working
      ASML 4022.470.0884 Servo Drive Nikon Reticle Loader Used Working
      ASML 4022.470.0892 TUR Remote Control Box 4022.471.6180 Nikon Loader Used
      APW 1NB412S77 Exhaust Blower Nikon OPTISTATION 7 Used Working
      Motorola 01-W1683B40C MCP750 PCB 4S082-992 Nikon NSR-S307E Used Working
      Nikon KAB11000 Linear Module Assembly OPTISTATION 7 Untested As-Is
      Sony BZ-T13 Laserscale Interface Used Working
      CTI-Cryogenics 8116081G006 On-Board 8F Cryopump w/ACM P300 Used Working
      Pacific Scientific 121-236 Rev B Spindle Controller Untested As-Is
      Nikon 2S700-582-1 Processor Board TCCNT PCB Card 2S014-035-5 3-TAR V17.00 Used
      Nikon NSR Series AVIS-I/F2 PCB 4S007-787-1
      Nikon 4S008-115-? Processor Board PCB ALGAF-P/D-X4+ Used Working
      Nikon 4S018-679 Control Board PCB RL-LIBHT2 NSR-S204B Step-and-Repeat Used 
      HP Hewlett-Packard E7080-63516 Versatest 256K Vector Pattern Generator Used
      ASM 02-333409D01 ASSY-HYB CASS-PASS THRU-VAC-SEMITRN
      ASTeX Applied Science & Technology ASO-4251 Power Distribution Used Working
      MeiVac CVQ-250-ISO-U-SM Aluminium Radial Vane VARI-Q Throttle Valve Used Working
      Lam Research 853-495457-001 Pedestal CSTR 2.2K TMP Lifter Rev E2
      ASM 16-187453D01 SUPPORT-DTS SUSCEPTOR E3000
      ASTeX Applied Science & Technology AS-04319 Control Interface Used Working
      CTI-Cryogenics 8107817G001R 8F Cryopump CRYO-TORR High Vacuum Pump Used Working
      TEL Tokyo Electron 1810-121816-12 BODY UEL TYPE 2
      MeiVac CVQ-6-ASA-U-SM Aluminium Radial Vane VARI-Q Throttle Valve Used Working
      ASM 2467550-01 Motor M2
      V-Tex 201403-25-0048-01 Gate Valve Rollcam NW40 ASM 91310-68096
      Force Computers 102263 CPU Processor VMEbus PCB SYS68K/CPU-30BE/16 Rev. F Used
      Nikon SFK-MTR-X8 Back Plane PCB STG-STC-33/5V2-X8 STG-STC-33/5V1-X8 Used Working
      Axcelis 595731 Module Control Fusion PS3 590561 RPC320027 Used Working
      Tachibana Tectron TVME2300 Memory Board VMEbus PCB Card Rev. B Used Working
      Lambda 00500001 Power Supply 590561 Axcelis Fusion PS3 CRPC320024PM Used Working
      Leitz FSA-WR Microscope Eyepiece Ergoplan Leica 572089
      Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL THERMO Copper Used Working
      Nitto Denko MA3000II Wafer Chuck Vacuum Assembly used working
      Axcelis CRPC320024DRAW Module Control Fusion PS3 RPC320027 590561 Used Working
      Ultratech Stepper 01-15-04736 Reticle Gripper Assembly Swing Arm 224 Used
      AMAT Applied Materials 0010-18132 HDP-CVD RPSC Applicator 5200 0040-18094 Used
      M.E.C. Tech MEC30512-1268TI Process Plate Assembly Copper Refurbished
      SMC INR-244-271 Controller Assembly 4TP-1B849 TEL Tokyo Electron Lithius Used
      Neslab ThermoFlex 900 Thermo Fisher 101121010000000 Chiller Not Working As-Is
      RECIF IDLW8 200mm Optical Character ID Reader Wafer Sorter No display Used As-Is
      Kawasaki 50818-1176 Robot Select Teach Repeat Control Box 50977-3262 Used
      AMAT Applied Materials 0010-02146 HDP-CVD RPSC Applicator 5200 CVD Used Working
      Daihen HFA-30A-V RF Match TEL Tokyo Electron 3D80-000158-V3 Used Working
      Trek 13402H Model 321 Electrostatic Voltmeter KLA Quantox XP Used Working
      SMC NCDQ2B125-UIA970691 300mm Slit Valve AMAT 0040-75863 Rev. 001 Used
      VAT 0360X-CA24-BCY1 Rectangular Dual Slit Valve Used Working
      SMC NCDQ2B125-UIA970691 300mm Non-SNP Slit Valve AMAT 3020-01204 Used Working
      RGI Raster Graphics 6000700-09A VMEbus Interface Board PCB Card RG700 Used
      TEL Tokyo Electron 1D81-00000097A6 ITC PCB Board TYB 212-1/RF Unity II Used
      Hitachi ESC-2000E ESC Power Supply Assembly ESCID ESCOD M-712E Used Working
      Nikon 4S018-921 RBTDRV Drive Board PCB NSR Series Used Working
      KLA Tencor Fiber Optic Cable AIT UV Used Working
      Nikon 4S008-260 Power Board PCB IU-PWR2-X4P4 Used Working
      V-Tex 172B336X48DCPRO03 Slit Valve Hitachi M-712E Used Working
      AMAT Applied Materials LCAT200P Cassette Alignment Tool Controller Used Working
      AMAT Applied Materials 0021-25668 300mm HTESC Shutter Disk New Surplus
      AMAT Applied Materials 0040-85691 Shutter Garage Assembly Used Working
      Nikon 4S019-115 CRI/F Interface Board PCB NSR-S306C Used Working
      Nikon Z-A86974C Cassette Elevator 4S013-467 NSR-S306C Used Working
      National Instruments 181555-01 Bus Expander Isolator Lot of 12 Used Working
      Nikon Z-X4P/8-A1103C NRS S306C Power Supply Module working
      AMAT Applied Materials 9090-00785 High Voltage Module 3X2H XR80 Used Working
      TEL Tokyo Electron CT5010-304265-11 Clean Track Adapter Bottle Lot of 5 New
      Kokusai APC A/2 PCB D1E01142B Used Working
      Hauser Screw Controller 0200-M COMPAX-M Used Working
      Regal Flow Sensor FS-10 Lot of 10 Used Working
      Mitsubishi QH42P PLC Input/Output Unit Lot of 6 Used Working
      Nova Measuring Instruments 153-00000-01 Wafer Handling Assembly Used Working
      PPC Pacific Power Control Power Line Conditioner KLA-Tencor 750-653120-00C0 Used
      AMAT Applied Materials 0270-03972 Wafer Calibration Tool 4mm New
      Kokusai KBC CPU-6 PCB D1E01133 Used Working
      TEL Tokyo Electron 3D81-000099-V1 PCB TYB622-1/GAS2 Board Used Working
      Nikon 4S018-919 Robot Interface PCB NSR-S306C Used Working
      Asyst 14939-001 Robot End Effector Rev. 2 New Surplus
      Nikon 4S018-725 Robot-I/F Interface Board PCB NSR-S306C Used Working
      Pureron DFM2-1000 Flow Meter Reseller Lot of 5 Used Working
      Hitachi VMPM-02N Control Board PCB Used Working
      M.E.C. Tech RE2093 Rev 2 CDO Process Plate Assembly New
      M.E.C. Tech MEC30512-1001R Process Ring New
      SDS V-DSP240/SP 4-Channel Interface PCB Card SDS-9725 Hitachi S-9300 CD SEM Used
      Granville-Phillips 307130 Vacuum Gauge Controller 307 Used Working
      Lam Research 810-017012-001 Heartbeat Board Rev. F Used Working
      Mitsubishi FX2N-64MR-ES/UL Programmable Controller PLC FX2N-64MR Used Working
      Mitutoyo 09AAA790 Linear Scale ST320 Nikon 4S554-081-1 NSR-S205C Used Working
      Mitutoyo 09AAB215 Linear Scale ST420 Nikon 4S554-160 NSR-S205C Used Working
      Leda-Mass Spectra Vacscan 100 Residual Gas Analyzer RGA Cables Nordiko 9550 Used
      Lam Research 839-440562-518 ASSY, ESC, DUAL ZONE CLG New
      Tegal 41-238-008 RF Shield React Fill
      ASM 16-176613D01 TOOL-SUSCEPTOR-QUARTZ New
      Air Products & Chemicals 10350 Lid New Style with T/C New Surplus
      ASM 16-187453D01 SUPPORT DTS SUSCEPTOR E3000 New Surplus
      Brooks Automation 148301 Main IV Board PCB 173277 Used Working
      MKS Instruments 270D-4 High Accuracy Signal Conditioner Type 270D Used Working
      Sycon Instruments STM-100/MF Thickness Rate Monitor Used Working
      Mykrolis GHPG01PLT Filter Guardian HP 10" 0.2μm Reseller Lot of 11 New Surplus
      Nikon Wafer Loader Ceramic Wide Arm Right End Effector NSR Used Working
      AMAT Applied Materials 0020-39140 DPS Metal Kit Focus Ring Used Working
      Copley Controls 800-299A Servo Motor Drive Amplifier Used Working
      Edwards D37410212 iH iL Vacuum Pump Standard Control Module Used Tested Working
      Mitutoyo 09AAB215 Linear Scale ST420 Nikon 4S554-161 NSR-S205C Used Working
      Leybold Inficon 758-500-G1 Thin Film Deposition Monitor XTM/2 Used Working
      Lam Research 1289-98-42-004 CHAMBER LINER New
      AMAT Applied Materials 0020-23811 Coherent Plate 8" Used Working
      DNS Dainippon Screen FEPS-002 Electronics Junction Box Used Working
      VAT 03112-LH24-ABG1 Slit Valve ASM 50-125346U01 Refurbished
      CALMETRICS SCU 200 400 800 Thermo Fisher Calibration Set
      VERITY 1000805 .2 Meter Monochromator Detector Model EP200Mmd
       Brooks Automation 148285 LCD Display IV A9M2440C_0 Used Working
      Faulhaber 3557K012C Motor Endcoder Reticle Stage Assembly NSR-S202A Used Working
      Nordiko D00021 Platform Low Tension DC Power Supply 9550 PVD Sputtering Used
      Nikon Wafer Loader Ceramic Arm Left NSR Used Working
      CTI-Cryogenics 8039764G001R 250F Cryopump CRYO-TORR Used Working
      Mitutoyo 09AAB215 Linear Scale ST420 Nikon NSR System Used Working
      TEL Tokyo Electron HTE-TA6-D-11 AC Control Board PCB #006 TAB2300 ACT12-300 Used
      MRC Materials Research Corporation EC002 Etch Kit 150mm Used Working
      TEC IZU 4S064-957 Power Supply Nikon NSR-S205C Step-and-Repeat Used Working
      Mitutoyo 09AAB215 Linear Scale ST420 Nikon 4S554-163 NSR-S205C Used Working
      Mitutoyo 09AAB215 Linear Scale ST420 Nikon 4S554-162 NSR-S205C Used Working
      VAT 02011-BA24-1002 Vacuum Gate Valve MONOVAT Nordiko 9550 Used Working
      Omron E2CA-AL4D-3 Proximity Sensor Amplifier Assembly Used Working
      VAT 14036-PE06 Manual Gate Valve ASM 845003399
      MKS Instruments ENI MW-5DM13 RF Match Interface Used Working
       ASM 16-178672D01 SUPPORT LARGE DIA SUSCEPTOR New Surplus
      PCT Systems 6000 E/E Megasonic Hyperclean Generator/Controller
      Dover Instrument Corp. DMM 2100 Servo Controller
      Nikon 4S007-781-1 Relay Interface Board PCB SHRINC-INTF-1 NSR-S202A Used Working
      Nordiko D00019 Platform Low Tension DC Power Supply 9550 PVD Sputtering Used
      SVG Silicon Valley Group PDPI Photoresist Catch Cup 200mm 90S DUV ASML Used
      Nikon Wafer Loader Ceramic Arm Left End Effector NSR System Used Working
      Nikon Wafer Loader Ceramic Arm Left NSR System Used
      Mykrolis QCCVATM01K Filter Catridge QuickChange ATM Chemlock 0.1μm Prewet New
      Mitutoyo 09AAA790 Linear Scale ST320 Nikon 4S554-079-1 NSR-S205C Used Working
      TDK MSE182B Power Supply PCB Card 2EA00E182B Nikon 4S001-064 NSR Used Working
      TURBOTRONIK NT 50 Leybold 854 03 Turbomolecular Pump Controller Used Working
      Lam Research 716-140118-001 Focus Ring ESC 8" Used Working
      Tegal 40-606-004 Ceramic Liner HRE2
      Verteq 1076584-3 RD Controller New Surplus
      VAT 01034-KE41-0001 Mini UHV Vacuum Gate Valve Used Working
      VLSI Standards SRS-2-T / SRS-2-G Sheet Resistance Standard Set
      AMAT Applied Materials 0200-02990 002 Top Liner 200MM New Surplus
      Omron E2CA-AL4D Proximity Sensor Amplifier Panel Nikon NSR-S202A Used Working
      Lam Research 716-330892-507 Ceramic Focus Ring Base Used Working
      Nikon Wafer Loader Ceramic Arm Right End Effector NSR System Used Working
      Nikon Wafer Loader Ceramic Arm Right NSR System Used Working
      MRC Materials Research Bump Kit Hard Etch 150mm Refurbished
      Asyst Technologies 3200-1065-01 Control Board PCB 3200-1045-01 Used Working
      TCE IZU 4S064-957 Power Supply Nikon NSR-S307E DUV Used Working
      Nikon 4S604-203-2 Magnetic Linear Rail ULSI-2390-NQ11 NSR-S307E DUV Used Working
       AVAL Data AVME-352 6 Channel Interface PCB Board SIO Used Working
      TEL Tokyo Electron 5087-400486-15 Dev.Solution 2 Valve CKD AMF-V-X1 Lithius Used
      Nikon 4S013-484 Linear Scale Control Board PCB 09AAA790 NSR-S307E DUV Used
      TEL Tokyo Electron 5087-400486-15 Dev.Solution 1 Valve CKD AMF-V-X1 Lithius Used
      AVAL Data AVME-142 Processor Board PCB MPU-42 TSA-235C Used Working
      Nikon 4S014-145 Interface Bus Board PCB AF-BUSX2 NSR-S204B Step-and-Repeat Used
      Sharp LJ512U25 EL Display LCD Panel Screen 8.6" 640x480 Used Working
      Nikon 4S604-201-2 Magnetic Linear Rail ULSI-2390-NQ11 NSR-S307E DUV Used Working
      Nikon 4S007-879-1 Interface Board PCB AF-PSDX21 NSR-S204B Step-and-Repeat Used
      Beijer Electronics 02440G Graphic Operator Terminal Interface E700 Used Working
      ASM 03-320142D03 EV Interface Board PCB ASM Epsilon 3200 Used Working
      Advantest BLM-027101 PCB Circuit Board PLM-827101AA1 M4542AD Used Working
      SMC INR-244-261B RC Circulator Pump Assembly TEL Tokyo Electron Lithius Used
      Kokusai D1E01277B PCB Circuit Board D1E01278A CX2001 DD-1203V 300mm Used
      AMAT Applied Materials Load Lock Internal Transfer Unit SemVision cX 300mm As-Is
      Advandtest M454AD SGMPH-04A1A-AD21 Linear Actuator Yaskawa SGMPH-04A1A-AD21 Used
      Sigmameltec PD-10-10K PD-20-2P PD-10-01P IT-10 Readouts Lot of 9 RTS-500 Used
      VAT 09038-PE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 New
      SMC INR-244-261 RC Circuilator Pump Assembly TEL Tokyo Electron Lithius Used
      VAT 09038-PE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 Opened New
      ASM 03-320142D01 EV Interface Board PCB ASM Epsilon 3200 Used Working
      CKD SDM4050-2-C05 Super Dryer Membrane Air Dryer SD4000 Used Working
      TEL Tokyo Electron 1D81-000096-AB PCB Board TYB 211-1/GAS Unity II Used Working
      Kokusai Electric F9038-C059 Linear Rail DD-1203 Zestone Used Working
      Bay Networks Model 5000 14 Card Slot Ethernet Web Host Used Untested As-Is
      TEL Tokyo Electron Photoresist Reservoir DEV 2-2 Clean Track ACT12 Used Working
      AMAT Applied Materials 0040-80228 QX Source Chamber 0040-80232 002-86470 Used
      TEL Tokyo Electron A115115 Pod and Tube Weldment Sput 2 Etch Rev. C New
      Hitachi 1-823773-^B I/O PCB Chassis New Surplus
      TEL Tokyo Electron Photoresist Reservoir DEV 2-1 Clean Track ACT12 Used Working
      Shimadzu EI-D3203M Turbomolecular Pump Controller 1.0K TMP Used Tested Working
      TEL Tokyo Electron 1D81-000096-AA PCB Board TYB 211-1/GAS Unity II Used Working
      Pearl Kogyo APU500 Vpp RF Monitor Unit Hitachi M-712E Etcher Used Working
      TEL Tokyo Electron EHX Box Pressure Manometer Panel ACT12 Used Working
      Agilent Technologies 5517D-C29 Laser Head 78uW 5517D Used Working
      KLA-Tencor 0116473-000 AA Cheetah 10K.7 73GB Hard Drive ST373207LW Used
      TEL Tokyo Electron 2985-404117-W3 Side Rinse Arm Assembly (L) ACT12 Used
      TEL Tokyo Electron 2985-416713-W5 Resist Arm Assembly (12-R) ACT12 Used
      Hitachi Kokusai CX3202 Furnace Gas Controller Rev. A Used Working
      TEL Tokyo Electron 381 LHP EXT Cover Station Frame 2985-401328-W4 ACT12 Used
      TEL Tokyo Electron 2985-404116-W3 Side Rinse Arm Assembly (12-R) ACT12 Used
      HP Hewlett Packard 5517D Laser Head 343uW Used Working
      TEL Tokyo Electron 2985-416715-W6 Resist Arm Assembly (12-L) ACT12 Used
      HP Hewlett Packard 5517D Laser Head 345uW Used Working
      CTI-Cryogenics 8116250G001 P300 Cryopump On-Board 8113192G001
      Hitachi Inter-lock Box Assembly MU-712E Used Working
      VAT 62034-KA18-1005 Pneumatic Angle Isolation Valve Lot of 6 Used Working
      Hitachi Chamber Vacuum Pump 1 Controller MU-712E Used Working
      Hitachi Chamber Vacuum Process 2 Controller MU-712E Used Working
      TEL Tokyo Electron 2985-403942-W1 Left Motor Scan Assembly ACT12 300mm Used
      Hitachi Inverter Vacuum Pump MU-712E Used Working
      Hitachi Chamber Vacuum Process1 Controller MU-712E Used Working
      Hitachi Chamber Vacuum Pump2 Controller MU-712E Used Working
      Orion Pelthermo ETC902-NSCP-L Used Working
      Kokusai Electric CX1103C Tube Controller CX-2000 Used Working
      Hitachi 7200 DC Power Supply Unit MU-712E Used Working
      TEL Tokyo Electron 300mm Air Valve Assembly Develop Process Station ACT12 As-Is
      TEL Tokyo Electron 2985-403941-W1 Right Motor Scan Assembly ACT12 300mm Used
      AMAT Applied Materials 0242-17788 Single Zone Heater 300mm Kit New
      KLA-Tencor 546399 PCB Circuit Board Rev. AA Used Working
      KLA-Tencor Lens Focus Assembly 374113 Used Working
      AMAT Applied Materials 0010-24988 Shutter Garage Housing Assembly New Surplus
      Nikon 4S018-867 Circuit Board PCB PPD3X4 I/F NSR Used Working
      Kokusai SCOM2A PCB 87W19 D1E012238 Used Working
      Nikon 4S018-849 Circuit Board PCB OPDMTHX3 NSR Used Working
      AMAT Applied Materials 0040-85322 300mm PVD 32x .300 Magnet Ring New
      Nikon Microscope Optics Assembly Lot of 2 OPTISTATION 3 For Part Used As-Is
      Lam Research 853-012550-001-H-ELM Wafer Shuttle Assembly 4420 Etcher Used
      Xycom 70113-003 PCB Card 71113A-001 Lam Research Rainbow 4420 Used Working
      TEL Tokyo Electron A124180 Hard Etch Door Assembly Used Working
      Omron NT30C-ST141B-E Interactive Display Used Working
      Schroff ML300/K1 Power Supply Coutant B58527 400W Refurbished
      Parker Bayside RT90-015-021LE MultiDrive Gearhead Refurbished
      VAT 01034-KE44-0004 Mini UHV Vacuum Gate Valve Used Working
      KLA-Tencor 50-0002-02 Probe Head TC 4.0 Mil 100 Gram Used Working
      VAT 09040-PE01-0001 Manual Actuator Gate Valve Used Working
      Nikon 2S700-582-1 Processor Board TCCNT PCB Card 2S014-035-5 3-TR V17.10 Used
      NSK ESA-LYB2AF6-20 Servo Driver Y Axis Motor TEL 2980-194841-11 ACT12-300 Used
      Digital Electronics UF7811-2-DV2S-24V Touch Screen Display Panel Used Working
      Nikon 4S019-288-1 Laser Interface Board VME Card IFSIGCOR NSR-S307E Used Working
      SVG Silicon Valley Group 859-0727-010 Shutter Interlock Control PCB A2501 Used
      NSK ESA-J2006AF3-20 Servo Driver θ Theta Axis TEL 2980-194842-11 ACT12-300 Used
      Nikon 2S700-583-1 CCCNT Board PCB Card 2S014-036-4 V2.31 OPTISTATION 3A Used
      Nikon LS353-01-030 Interface Processor Board PCB LANRCSLIFX4 Used Working
      Nikon 4S019-288-1 Interface Board VME Card IFSIGCOR PCB NSR-S205C Used Working
      AMAT Applied Materials 0100-09299 Serial PCB Card Mizar 8115-F-00-00 P5000 Used
      Yamatake EMT0850C05KXXT0 LCD Monitor TEL Tokyo Electron EC80-000188-11 Used
      Cognex 200-0075-4 Vision Image Board 203-0075-RE PCB Card Used Working
      TEL Tokyo Electron ES3D10-190350-V2 Exhaust Plate Telius Used Working
      Hitachi DC Power Supply Unit M-511E Used Working
      AMAT Applied Materials 0190-76273 Power Supply P1183-208/208 Used Working
      ASML 4022.470.0903 FRM Remote Control Box ASML Stepper Dual Scan Used Working
      AMAT Applied Materials 0040-06361 ISM SWLL 300mm Bellows Assembly Used
      ASML 4022.470.0883 ROB Remote Control Box ASML Twinscan XT:1250 Used Working
      Schumacher BK1200SSB Stainless Steel Bubbler Ampule Used Working
      Hitachi 568-5567 Circuit Board PCB VME Card FA-I/O S-9380 Used Working
      Vox Technologies ROCKY-548TX V2.0 5BC Single Board Computer 14-013582 Used
      Lam Research 716-033917-001 Research Quartz Ring Base New
      RECIF Technologies SPP300F05-A3500 Wafer Handling Motor Transmission Used
      GaSonics 90-2570 Controller Board PCB Rev. A FabMotion Used Working
      Inficon 923-603-G2 CPM Controller Used Working
      Hitachi 564-5528 Circuit Board PCB PS DISP Hitachi S-9380 Used Working
      Asyst Technologies 9700-6209-01 Power Distribution Unit Rev. B Used Working
      RTE-111 Neslab Instruments 134103200101 Refrigerated Bath Missing Cover Used
      Crouzet PAM 3 PC 3210 Multiplexer PCB TEL Tokyo Electron 3310440 Lot of 20 Used
      Celerity 9010-02083ITL Fluid Systems Gas Panel Used Untested As-Is
      Yaskawa V2SB End Effector TEL Tokyo Electron Unity II Used Working
      HP Hewlett-Packard 16320-66551 Pin Card PCB B-3152 16320-6651 Lot of 10 Used
      MRC Materials Research A118144 Autotune RF Network Used Working
      Berkeley USA4-11-28-UR Multi Axis Universal Servor Amplifier Used Working
      TEL Tokyo Electron OYDK-017A IO P-CHEM #01 PCB Lithius Used Working
      AMAT Applied Materials 0100-09054 Analog Input Board PCB Card Rev. H P5000 Used
      AMAT Applied Materials 0020-08465 Shield Clamp Ring 8in AL-Sprayed Used Working
      TEL Tokyo Electron TMD002-X8 Resist Buffer Tanks WIth LE Sensor Set Used 
      Asyst 9700-6209-01 Robot Power Distribution Center ASM Epsilon Used Working
      TEL Tokyo Electron 3D80-000009-V4 Wafer Transfer Arm Cylinder Used Working
      TEL Tokyo Electron 3D80-000008-V4 Wafer Transfer Arm Cylinder Used Working
      TEL Tokyo Electron CPC-G227B01A-11 Oven I/O Board PCB TKB2121 ACT12 Used 
      Lam Research 852-011061-503-C-CHMN Lower Chamber Assembly 4420 Etcher As-Is
      TEL Tokyo Electron 1D81-0000-0096A9 Gas Board Avio Unity II Used Working
      Electroglas 4085X Camera Assembly 250957-001B 249006-001F 249014-001B Used
      Kooltronic Electroglas Exhaust Fan Assembly 950-005-52 Used Working
      JAE 6 Axis Vibration Measurement Unit 4S586-750 JNP-004 Used Working
      TEL P-8 SIO Board 3281-000014-16 Used Working
      Keithley Matrix Card 7071 Used Working
      Electroglas 4085X 247012-001 Rev. E Drive Shaft Assembly Used Working
      Komatsu Electronics 20000620 Heat Exchanger Power Supply GR-712-1 Used Working
      Pacific Scientific Stepper Drive 6415-001-N-N-N Lot of 5 Used
      Screen Lifter Assembly FC-3000 New
      TMP Shimadzu EI-3203MD Turbomolecular Pump Controller 1.8K Tested Used Working
      Electroglas 248057-001 A End Effector Assembly Used Working
      Komatsu KDP1320LE-1 Control Panel Used Working
      RECIF Technologies IDLW8-A9307 Computer Used Working
      KLA-Tencor 710-806051-01 DP Video Board Rev. AO TEL 3281-000051-11 P-8 Used
      TEL Tokyo Electron P-8 200mm Wafer Tray Loader Assembly CDQ2A32-75D-F79-XB9 used
      Orion Machinery ETM832A-DNF-L-G3 Power Supply PEL THERMO Used Working
      Koganei SP1207W Vacuum Assembly 4K191-631 Nikon NSR Series Used Working
      KLA Instruments 710-806050-01 Video Interface PCB TEL Tokyo Electron P-8 Used
      Busch F0-0030-B-0H0-XX Dry Scroll Vacuum Pump Needs Rebuild As-Is
      Nikon 4S061-686 PZT Driver Denso PZTDRIVER Used Working
      Cambridge Fluid Systems 0010-91663 Gas Panel Used Working
      Hitachi 571-7016 Processor PCB Card COUNTER0 I-900SRT Used Working
      Hitachi 571-7022 Processor PCB Card AFADC00 I-900SRT Used Working
      Hitachi 571-7025 Processor PCB Card AFCNT00 I-900SRT Used Working
      Yaskawa XU-DL1110 Robot Power Supply Module Hitachi I-900SRT Used Working
      Horiba STEC SEC-7330M Mass Flow Controller 1 SLM O2 Refurbished
      Kokusai Electric CX1103 Tube Controller Operator Panel CX-2000 CX13iPL Used
      Tosoh 805-076-SG-62-166 SST Shutter Disc Used Working
      Nikon 4S017-656-A Backplane Interface Board PCB S/G-MTHR NSR-S202A System Used
      Kokusai Electric D3E01155B Processor PCB Card AMCR Used Working
      Kokusai Electric D1E01078A Communication PCB Card MCOM with TOCP 200 Cable Used
      TEL Tokyo Electron 1810-329582-11 G2L Baffle Plate New Surplus
      MRC 150mm Hard Etch Bump Kit Eclipse Star Missing Door Shield Refurbished
      CTI-Cryogenics 8116250G002 On-Board P300 Cryopump with 8113160G001 Used Working
      KoMiCo KP00-0200-02348K Si Lapping Type Insert Ring AMAT 0200-02348 Used Working
      AMAT Applied Materials 0270-03714 Dual Blade Robot Lifting Frame New
      AMAT 0021-20718 Lower Pedestal Cover SS CDSL-A-480 805-058-SG-62-317 Used
      Nikon PS8-102G Pneumatic Block Double Pressure Switch NSR-S204B Used Working
      TEL Tokyo Electron 050-002717-1 Hard Disk Drive Unity II New Surplus
      Kokusai Electric D1E01150 Digital I/O PCB Card DIO Used Working 
      Tosoh 805-055-AG-62A01 Aluminum Bowl Shield Vectra Used Working
      Cybor 527E5-ACT8 Photoresist Pump Control Module Used Working
      Carl Zeiss 000000-1108-667 Microscope Lamp Power Supply Used Working
      AMAT Applied Materials 0240-70639 Endura 5500 Robot PM Bearing Kit New Surplus
      Kokusai Electric D1E01079A Processor PCB Card MCOS Used Working
      Shimadzu EI-D3603M Prototype1 Turbomolecular Pump Controller Used Tested Working
      Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL THERMO Used Working
      OKI Techno E2U008/PWR Power Supply PCB TEL E239-000069-11 T-3044SS Used Working
      DNS Dainippon Screen CESB-2012 Processor PCB Card BP-0008B SC-W60A-AV Used
      Shimadzu EI-D3203M Turbomolecular Pump Controller 1.2K TMP Used Tested Working
      AMAT Applied Materials 0100-09237 Dual FREQ Wiring Interconnect PCB P5000 Used
      TURBOTRONIK NT 20 Leybold 857 20 Pump Controller NT20 SW2.5 Used Tested Working
      Kensington 4000-6010-02 SBC Single Board Computer PCB Card AAEP3 Used Working
      Nikon 4S019-073-1 Chamber CPU Board PCB CHBCPU-1 PC01023B NSR-S307E Used Working
      B&R Automation 5PC720.1505-K16 15" Industrial Panel PC Tested Not Working As-Is
      SVG Silicon Valley Group 0961-002 A 1850 DC Power Supply ASML Used Working
      GaSonics A89-013-01 Control Panel PCB LED & Interface PCA G0-2670 Lot of 4 Used
      Totuku CCL182/r 18” Color Autoscan Display CDL1808A Hitachi S-9380 SEM Used
      Reis Robotics 3529638 Servo Drive F300 Drive 4009 AT Used Working
      NKS ESA-Y3008T25-21 Servo Drive ASML 4022.470.0884 TRMB Module Used Working
      MDC Vacuum Products 311081 Pneumatic Angle Valve HV Series LAV-600-PAA Used
      A-B Allen Bradley 96080677 PLC-5/30 Processor Module PLC PCB Card 1785-L30B Used
      SVG Silicon Valley Group 859-0564-002 A 1900 PO Isolator ASML Used Working
      AMAT Applied Materials Variable Aperature Holder SemVision cX Used
      Nikon NSR-S205C Beam Matching Assembly Main Body Used Working
      Panasonic MQMZ022A2D Servo Motor Drive Assembly AMAT VeraSEM Used Working
      Hitachi BR Control Box Used Working BBBS-11 DI0-01N AI0-02N ILB-02 M-712E Used 
      Totuku CDL1806A/R 18” Autoscan Display CDL1806A Hitachi S-9380 SEM Used Working
      Reis Robotics PNT350-24V/6V5 Robot Power Supply Used Working
      Telemecanique LXM15LD21M3 Servo Drive 15 LP Used Working
      ASML 4022.470.0889 CRB Module 4022.471.9501 Maxon IES 021.2B Used Working
      Opal 30612450000 SPGU Sine Power Generator Unit PCB Card AMAT VeraSEM Used
      Opal 30612640100 ASA Assembly AMAT Applied Materials VeraSEM Used Working
      KLA Instruments 710-608019-00 VME Column Interface VCI PCB Card Used Working
      AC Motoren JM 132 M-4 Pump Motor Vacuum Assembly JM132M-4 Used Tested Working
      AMAT Applied Materials 0090-91685ITL Edwards Active Gauge Controller Used
      AMAT Applied Materials 9090-91685 Active Gauge Controller Rack D38661000 Used
      Hitachi S-9300 Wafer Prealigner Used Working
      AMAT Applied Materials UKA0003E Electrical Box Assembly Quantum X As-Is
      Telemecanique LXM15LD17N4 Servo Drive Lexium 15 LP Used Working
      MEN Mikro Elektronik 090033-00 Robot Controller Reis 3520226 Used Working
      ASML 4022.470.0892 TRB Module 4022.471.9501 PCB Card 4022.471.4479 Used Working
      KLA Instruments 740-651222-00 ND Filter Optics Assembly 2132 Used Working
      TEL Tokyo Electron HTEW-TA6-E-11 AC Control Board #06 TAB2300 Used Working
      Novellus 02-281532-00 RF Match Source Aluminum Coil Used Working
      Orion Machinery ETC902A-NSCP01-L Heat Exchanger PEL THERMO Used Working
      Lam Research 853-012350-002-F-230S Outer Gate Assembly Rev. G 4420 Rainbow Used
      AMAT Applied Materials 9090-01060 Filteration Control Assembly 0100-01938 Used
      TEL Tokyo Electron 3D81-000004-V2 Circuit Board TYB622-1/GAS2 T-3044SS Used
      TEL Tokyo Electron PS1 RF Pump Box TYB62F-1/PUMP T-3044SS Etcher Used
      TEL Tokyo Electron PS2 RF Pump Box TYB62F-1/PUMP T-3044SS Etcher Used
      SDS V-DSP240/SP 4-Channel Interface PCB Card SDS-9725 Epsilon 3000 Used Working
      TEL Tokyo Electron 3D10-100843-V1 Shutter DEPO Y-AL SE Used Working
      Newport A18602 VGM-1 Vertical-Drive Gimble Opitical Mount Used Working
      Nikon 4S007-416-3 AWLMD2 Board PCB Used Working
      Nikon 4S017-476-1 AWLSN2 Board PCB Used Working
      Oriental Motor PX243M-01AA Stepping Motor Vexta Used Working
      MRC Materials Research A121208 Power Supply Module Eclipse Star Used Working
      Therma-Wave 18-011089 Lens Optics Block Assembly Opti-Probe 2600B Used Working
      Kokusai Vertron Control Board DIE01277B MC16/A2 MSUB16/A1 Used Working
      MueTec KH-1 Full Tilt Station Step and Scan SVG Silicon Valley Group Used
      MRC Materials Research 115970-008 Multibus PCB Card Eclipse Used Working
      Kokusai Vertron Exhaust Controller CX1204 Used Working
      Omron Photoelectric Sensor Set Z4LC-S28 Used Working
      Novellus 01-8130508-00 Digital Controller Used Working
      Kokusai Furnace CPU Board DIE01294A KBCPU9/A1 Used Working
      Novellus 01-8146070-00 Digital Controller Used Working
      CTI-Cryogenics 8107814G003 8F Cryopump CRYO-TORR MRC Eclipse Star Used Working
      MRC Materials Research 618793-002 Control PCB PSBC221S Eclipse Used Working
      Force Computers 930-12535-101.A1 PCB Card SYS68K/SIO-2 Lam 810-017038-002.B Used
      Honeywell 037-0173-25 Sputtering Target 300mm New
      AMAT Applied Materials 0225-05707"XB" 6" Focus Rings ACP Lot of 25 Used
      AMAT 0010-09341 Wafer Lift Precision 5000 CVD PH264-01B-C11 Missing Parts As-Is
      Kondoh Kohsya LM-101 Chemical Concentration Monitor DM-007 Used Working
      Mitutoyo 09AAB215 Linear Scale ST420 NSR-S204B Used Working
      KLA-Tencor 11020616020105 AL Lens Lighting Retrofit Kit Used Working
      KLA-Tencor AIT I Surfscan Inspection Camera Assembly Sony DXC-950 LTAF-8000 Used
      Therma-Wave 14-004357 1 MHz Coherent DEMOD PCB Card Used Working
      KLA-Tencor 546399 Interface Card PCB UI SPLITTER, SP1 Used Working
      Agilent Technologies 5517DN13 HeNe Laser Head 286uW 5517D Used Working
      Harrington Hoist ED 250 120V Electric Chain Hoist 250 Pound Used Tested Working
      Agilent Technologies 5517D-C13 HeNe Laser Head 286uW 5517D Used Working
       KLA-Tencor 11020616027030 EBR Lens with Slit Cover Assembly Used Working
      Deublin 957-600 Pneumatic Motor AMAT Applied Materials 0190-01272 Used Working
      Nikon KAB11110/3301-0 AF-CCD Auto Focus Microscope Module OPTISTATION 7 Used
      Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 V1.21 OPTISTATION 3 Used
      Nikon BMU-3 Optical Lens Assembly OPTISTATION 7 Reseller Lot of 2 Untested As-Is
      Nikon LS353-01-014 BNC Interface Processor Board PCB LANRCSLIFX4 Used Working
      Nikon BMU-2 BMU-EXH3 Optical Mirror and Lens Assembly OPTISTATION 7 Used Working
      Nikon 4S005-204 Optical Assembly 4S005-206 NSR-S204B Step and Scan System Used
      ABB SK 828005 3 Pole Contactor EH 800 SK 828 100-DB EH800 Used Working
      Seiko Seiki SCU-STC-A Turbomolecular Pump STP Thermo Controller Used Working
      SVG Silicon Valley Group 03-188692D01 HW INTRL PCB Board E3000 Rev. A Used
      Nikon MN/RA Pneumatic Actuator MSA16X15-367W NSR-S204B Used Working
      SVG Silicon Valley Group 859-0727-001 Shutter Interlock Control PCB A2501 Used
      SMC XLD-100D-X510 Pneumatic High Vacuum Angle Valve ISO100 Used Working
      Edwards B65354000 Pneumatic Gate Valve GVI 100P Copper Exposed Used Working
      CTI-Cryogenics 0190-27350 On-Board P300 Cryopump with 8113192G001 Used Working
      TEL Tokyo Electron B32610-100717-V1 Upper Electrode PM U3-Y TOP Used
      TEL Tokyo Electron ES3D10-290050-V3 Bottom Ring Cover TEL Telius Used Working
      CONTEC SPC-CLR-JMC2 Rack Mount Control Computer FA-UNITF8DR New
      Edwards D37370761 iGX Accessory Module New Surplus
      Rippey Eclipse 500137185 Polisher MCFEM-38-18XN-0310 Used Working
      Lam Research 853-012123-001-I-LLFDR Harmonic Arm Drive 4420 Used Working
      Baldor NXE100-1608DB 8-Axis Motion Controller NextMove e100 Used Working
      Leybold WS1001 Vacuum Pump Kit New
      RECIF IDLW8 200mm Optical Character ID Reader Wafer Sorter Used As-Is
      TEL Tokyo Electron 1D81-000088 PCB Card TVB0008-1/VMIF Unity II Used Working
      Lam Research 853-013610-111-1-C222 4420 Solenoid Tray Assembly Used Working
      Lam Research 853-140013-001-1-230S Outer Gate Assembly 4420 Etcher Used Working
      Hitachi CCD Video Camera FP-CIU Lot of 2 Used Working
      TEL Tokyo Electron EC81-000016-11 PCB Card TEB102-1/NASS Unity II Used Working
      Lam Research 853-013610-001 4420 Solenoid Tray Assembly Rev. E Used Working
      Lam Research 853-013610-001-D 4420 Solenoid Tray Assembly Used Working
      Lam Research 852-011061-103 Lower Chamber Assembly 4420 Etcher Untested As-Is
      Yaskawa JAMSC-B1070 Register Output lot of 5 Used Working
      Nikon 4S018-806 BMU-CTRL Board PCB H=9.4mm Used Working
      Therma-Wave 18-010918 Power Supply Opti-Probe 2600B Used Working
      Nikon 4S018-807-A BMU-DRV Board PCB H=10.0 Used Working
      TEL Tokyo Electron MLXS-D12-670-3 Laser Assembly Unity 2 Lot of 2 Used Working
      Hitachi Wafer Transfer Robot Assembly I-900SRT Untested As-Is
      KLA-Tencor 710-680279-00 Optics Interface Board New
      Hitachi 571-7207 SRSEQ11 PCB Board I-900SRT Used Working
      Hitachi CCWLMT Linear Optics Stage Assembly I-900SRT Used Working
      SCU Seiko Seiki SCU-H1301L1 Turbomolecular Pump Control Unit Used Tested Working
      Nikon 2S700-582-1 Processor Board TCCNT PCB Card 2S014-035-4 OPTISTATION 3 Used
      Nikon 2S700-583-1 CCCNT Board PCB Card 2S014-036-4 V2.31 OPTISTATION 3 Used
      CTI-Cryogenics 8113181G002 Network Terminal RH Used Tested Working
      VAT 02012-BE24-ABD1 Pneumatic Vacuum Slit Valve Used Working
      Vacuum Apparatus 125-0400 UHV Pneumatic Linear Gate Valve Used Working
      TURBOTRONIK NT 20 Leybold 857 21 Pump Controller NT20 SW2.6 Used Tested Working
      ASM Advanced Semiconductor Materials LVC-414 Vaporizer 15g/mn SIHCL3 Refurbished
      Nova Measuring Instruments 210-70090-00 NovaScan 420 Notebook Assembly Used
      Nor-Cal Products 11222-0400R UHV Pneumatic Linear Gate Valve Used Working
      VAT 0300X-CA24-ALL1 Slit Valve AMAT Applied Materials 3870-00467 Refurbished
      CTI-Cryogenics 8112732G001 On-Board 4F Cryopump 32767 Hours Used Tested Working
      AMAT Applied Materials 1868624 200mm Pre Clean II Kit 0200-00218 0021-54083 New
      ASM Advanced Semiconductor Materials LVC-414 Vaporizer 16g/mn SIHCL3 Refurbished
      Intel PBA 115970-009 Multibus PCB Card MRC Eclipse Star Used Working
      Lesson 108022.00 Motor Assembly Hub City 0222-00293-1524 MeiVac 2460 System Used
      Keyence KV-5000 Realtime Logic Controller KV-C64XC KV-C64TCP KV-DN20 Hirata Used
      Lam Research 853-012123-001-E-2305 Harmonic Arm Drive Motor Assembly 4428b Used
      Lesson 108022.00 Motor Assembly Hub City 0222-23523-454 MediVac Used Working
      Lam Research 853-012350-002-F-2035 Outer Gate Assembly Rev. F 4428b Rainbow Used
      MeiVac VQ250ISOHSM VARI-Q Throttle Valve 150-3 Aclatel 2460 Used Working
      Intel PBA 143461-014 Single Board Computer 88/40A PCB MRC A116470 Eclipse Used
      Takasago Thermal Engineering 4K185-881AN Line Chemical Filter New
      Pioneer Magnetics PM 2973A-2-5 Power Supply 119151 Lot of 6 Used Working
      Komatsu Electronics 20000240 Heat Exchanger Power Supply HGR-72 Used Working
      TEL Tokyo Electron 1D81-00000097A7 ITC PCB Board TYB 212-1/RF Unity II Used
      Oriental Motor 4054-LD4 5-Phase Servo Drive Super Vexta Reseller Lot of 9 Used
      Lam Research 716-028721-281 8" Shadow DMD Bottom Wafer Clamp PLT Rev. A New
      TEL Tokyo Electron 1D81-000113-19 PCB Board TYB221-1/PUMP Unity II Used Working
      Force Computers 880-12537-101.A2 PCB Card Lam Research 4420 Used Working
      TEL Tokyo Electron 1D81-000115 PCB Card TYB414-1/CONT Unity II Used Working
      National Display Systems 90X0077 Display DM-3S12/ZN Used Working
      Nikon 45018-923 Power Board IU-PWR1-X4P Used Working
      Force Computers 890-14443-101 VME Card SYS68K/SASI-1 Lam MOD 1 4420 Used Working
      TEL Tokyo Electron HTE-TGR-B-W1 Ghost Repeater Board TAB5600-W Used Working
      Force Computers Inc 880-12535-101 Control Board Rev.A2 working
      Lam Research 853-012350-002-F-230 Outer Gate Assembly 4420 Etcher Used Working
      Mycom PG104L-04 Process Control VMEBus PCB Card PG-104 MY5211-047A Used Working
      Screen PC-97002A Control HLS-VME2 VMEbus PCB Card Used Working
      KLA-Tencor 0099586-001 Laser Servo Detector AIT UV Untested As-Is
      STEC SEC-7330M Mass Flow Controller 200 CCM SF6 Refurbished
      KLA-Tencor 0124592-000 Laser Servo Detector W/Spring Clamp AIT/UV New
      VAT 14040-PE44-1008 Pneumatic High Vacuum 4" Gate Valve Used Working
      VAT 02010-BE44-0001 Pneumatic High Vacuum 12" Slit Valve Used Working
      Williams Advanced Materials ZTH08446 Ni/Fe 18.2% wt% Target for Nordiko AMR New
      RF30 RFPP RF Power Products 7520758170 RF Generator Nordiko Not Working As-Is
      VAT 02010-BA24-0008 Pneumatic High Vacuum 12" Slit Valve Used Working
      Materion Microelectronics 7106509 Ni/Fe 17% wt% Target New Surplus
      Materion Microelectronics 7113419 NiFe14 Bonded Target New Surplus
      Materion Microelectronics 7105330 Bonded CS Ti Target New Surplus
      AMAT Applied Materials 0200-04084 Ceramic Liner Bottom New
      RF-30SWC RFPP Power Products 7520758010 RF Generator Nordiko Not Working As-Is
      CTI-Cryogenics 8113177G002 CPC Module Brooks Automation Used Working
      Hitachi 571-7511(b) Processor PCB Card AFADC00 I-900SRT Used Working
      Tektronix 7603 Mobile Oscilloscope Scope-Mobile 203-2 Used Tested Working
      Sumitomo Electric 52131001A D/A Board DA-Z PCB Card Nikon NSR Used Working
      TURBOTRONIK NT 20 Leybold 857 20 Pump Controller NT20 V1.4 Used Tested Working
      CTI-Cryogenics 8033295 8F Cryopump CRYO-TORR High Vacuum Pump Used Working
      TURBOVAC 50 Leybold Heraeus 854 01 Turbomolecular Pump 85401 Used Untested As-Is
      Force Computers 300000 CPU Processor SYS68K/SASI-1 Lam 17035-1-B-6562347 Used
      Sumitomo Electric 52131010C Drive Board PCB 1494V-0 Nikon NSR-205C used working
      SVG Silicon Valley Group 879-4027-001 Wafer Handling Robot Rev. F As-Is
      SVG Silicon Valley Group 879-0427-001 Wafer Handling Robot Rev. E As-Is
      TEL Tokyo Electron ISA Slot PCB Module TEB302-1/BP Unity II Used Working
      Force 100019 Single Board Computer SBC VME SYS68K/CPU-6A Alphasem SL9021 Used
      Sumitomo Electric 52131001A D/A Board PCB 794V-0 Nikon NSR-205C Used Working
      SVG Silicon Valley Group 879-9075-011 Wafer Handling Robot Rev. A As-Is
      MRC Materials Research A114265 Quad 1000 RF Deck Eclipse Star Used Working
      Novellus 02-169180-01 C3 Vector LTM R L K VCTR 101079 Assy LAM used working
      SVG Silicon Valley Group 879-0427-002 Wafer Handling Robot Rev. F As-Is
      Lambda EMI 00500003 Power Supply 5kW SX502S-5KV-NEG-DC-AIR-1370 Untested As-Is
      LEAG 90228 3 Axis Servo Drive TFM-060-06-05-99 Alphasem SL9201 Used Working
      Varian E11140140 Control Unit Assembly Rev. D SICK LGTN101-511 Used Working
       Novellus Temperature Plate Used Working
      ET600W Ebara ETC04 PWM-20M Turbo-Molecular Pump Controller Used Tested Working
      Iwaki HPT-106-2 Photoresist Tubephragm Pump HPT-106 No Cables Used Working
      SanKen PS-200S Power Supply TEL Tokyo Electron P8 Used Working
      STEC SEC-4600M Mass Flow Controller AMAT 3030-09676 100 SLM N2 Refurbished
      ASML 4022.471.5463 Circuit Board PCB Used Working
      Hiranuma Sangyo CADS Drain Wash Controller COM-555P working
      AMAT Applied Materials 0090-91409 Beamline Interlock Box Rev. A Used
      Hitachi ESC-1000F DC Single Channel Power Supply Used Working
      RECIF Technologies SPPF50A60000 Robot Arm Refurbished
      EXT 70H Edwards B722-23-000 Turbomolecular Pump Tested Locked Rotor As-Is
      Lucent Technologies 17-112185-00 Bottom Shield Refurbished
      Huber UniPump II-PV External Pressure Pump New
      AMAT Applied Materials 0020-33879 R2 STD Cathode New
      Fusion Systems 264301 Power Supply M200PCU Used Working
      Danaher Motion 256MB Single Board Computer 1107-2065 Rev.1 working
      Pentagon Technologies ATM-0704-Al/Ti Clamp Ring 2.5mm WEE New
      AMAT Applied materials 0020-33880 Holder Insulation R2 New Surplus
      Force Computers CPU-6VB Board 600-11315-301 working
      AMAT Applied Materials 0200-09741 Quartz Focusing Ring New Surplus
      Keithey 9620-FMU Power PCB working
      Mactronix H2O Company 125mm Flat Finder Reseller Lot of 4 Used Working
      Force Computers 890-14425-101 PCB Card SYS68K/CPU-6VB/C3 Lam 4420 Used Working 
      Canon CDIF Board BG8-2676 Used Working
      AMAT Applied Materials 0250-09623 Anodized Gas Distribution Plate New surplus
      Nikon NSR-S307E  Z-4B1A-A1601 Power Supply Module 4S019-235 working 4S001-107
      TEL Tokyo Electron Drive Assembly SMC CY1R6H-R5495-125 P-8 Used Working
      TEL Tokyo Electron P-8 Wafer Prober Loader Rack 3281-000086-13 Used Working
      Semicon Precision Industries A-1402 Lower Shield Assembly New
      Nikon 4S008-414 Camera Controller NVCEX-X4B1 Used Working
      VAT 02010-BA24-1003 Pneumatic Vacuum Slit Valve Used Working
      Hitachi MB1040-B Chamber RF Components 2M130 M-712E Used Working
      Hitachi Chamber Vacuum Process 2 Controller M-712E Used Working
      Hitachi Chamber Vacuum Process 1 Controller M-712E Used Working
      Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3 Used Working
      Motech 0090-91123 Voltage Clamp Unit  CD51/E AMAT XR80 Implant Used Working 
      Digital Dynamics SESIOC 1 PVD Controller Novellus 02-258471-00 Used Working
      MRC Materials Research A129350 SS Relay Assembly Eclipse Star New
      Hamamatsu S9037-0902N Window-Less CCD Area Image Sensor Nikon NSR-S620D Used
      Lam Research Kit, crtsy, cal, te 843-022046-001 REV B
      HP Hewlett-Packard 16058A Test Fixture
      CTI-Cryogenics 8112898G005 8F Cryopump CRYO-TORR High Vacuum Pump Used Working
      MRC 701795-0 HOLDER WAFER HBUMP 6"
      ASM / PureAir 63-119074A30 / STX-PA TRANSMITTER-H2-0-4%
      BECO MFG DV2-PVDF-32-C-EP VALVE EE WASH DRAIN
      RACKMOUNT CSERVER 315 Rack Mounted Server for 7800 Series EPI Reactors
      ASM 2888092-01 ASSY TOUCHSCREEN REACTOR
      Verteq 1071649-1R 55M Controller SRD Model 1600.HC New
      Lam Research Kit, crtsy, cal, te 843-022046-001
      Tektronix DG2020A Data Generator
      CONOPTICS M360-80 E.O. Modulator Z 50 Ohm S.E.
      THERMAL FISHER SCIENTIFIC SILICON THERMAL CH1000 Chiller
      Novellus Systems 02-047200-02 ASSY, Pedestal Lift
      Alcatel 27778 Control and Sensor Assembly Used Working
      VAT 89387-R1 KIT VALVE ACTUATOR WITH GATE
      NSK ESLZ10CF1-01A DD Driver
      STRAPACK CORP. JK-2 Semi Automatic Strapping Machine
      MRC D122742 HOLDER WAFER HBUMP 6"
      MRC MR-22377 HOLDER WAFER HBUMP 6"
      Hitachi 1-A17938*A Inner Cover Assembly EC1/EC2 1-A17938-*B M-712E New
      PVA TEPLA AMERICA INC 100163 CAGE FARADAY
      ADCOS GN/WH K100VA3 Encoder PCB VME A-100 Alphasem SL9021 Used Working
      ADCOS GN/BK K100VA3 Encoder PCB VME A-100 Alphasem SL9021 Used Working
      Alphasem AS 313-0-06 Distributor Servo System PCB VME Used Working
      ADCOS K100 VIT VME PCB VIT-12 Alphasem SL9021 Die Bonder Used Working
      AMAT Applied Materials 0010-22876 Door Assy 300mm MD SWLL 0040-03795-002 Used
      Nikon 2S014-065 MCR-ISA PCB Board OPTISTATION New Surplus
      ASM 1014-771-01 CONTROLLER-CLUSTER TOOL EXTERNAL DRIVES
      Delta Design Power Summing Module Lot of 13 used working
      Nikon 81254 Camera Coupling Sort Assembly OPTISTATION Used Working
      Tosoh 805-438-TA Shutter Disk AMAT Endura New
      Nikon 4L992-195ANBMU Mirror NSR-S306C New
      Oerlikon 102161354 Etch Shield New
      ASM 16-191060D01 ADAPTER FLANGE 300MM/200MM
      Inficon LTSP-600 8-Channel Power Supply LSTP-03W LinearTec Used Working
      Inficon HPR-1100 Transpector Residual Gas Analyzer Assembly Used
      WARREN  Ball Valve 4" CF8M, 1000W0G
      SCHUMACHER 1491-70376 Refill Controller, Model SCM TFL
      Brooks Automation 002-3987-02 Universal DC Power Distribution
      ASM 02-350955D01 ASSY-DYNAMIC PRESSURE REGULATOR
      ASML 859030003 Preamp PCB Assembly A5401A/B Used Working
      FUSION SEMICONDUCTOR SYSTEMS 79422 Power Supply Model P 104
      Yaskawa Electric JAMMC-SRC01I Automation Control PCB Card JAMMC-SRC02 Used
      HVA High Vacuum Apparatus 11210-1003R-001 Gate Valve AMAT Used Working
      HVA High Vacuum Apparatus 11211-1003R Gate Valve Used Working
      Futaba HPS-FB6-2 Power Supply Module CZ0190 4S064-064 Nikon NSR-S204B Used
      Lam Research 853-001142-001 Automatch Controller
      ASM 3753603-01 Shield PCE LWR Side
      Yaskawa CACR-HR01BAB11Y11 Servo Drive ServoPack Used Working
      HVA High Vacuum Apparatus 21211-1003R-00 Pneumatic 10 inch Gate Valve Used
      Nikon 4S018-650 PCB Assembly RTEXX3 STGX3A BLX3 NSR Used Working
      Agilent Technologies 5517DN13 HeNe Laser Head 399uW Used Working
      Bronkhorst C9-LZA-99-Z Pressure Control Valve ASM 830069372 New 
      Power Ten 5800R-20/10 Power Supply Used Working
      Mizar 6800-04359-0025 PCB Card 7130 CPU Used Working
      KNIEL 314-201-04.02 Power Supply PCB Card CP 270.1,9PC 4022.476.01081 Used
      KNIEL 193-011-3404 Power Supply PCB Card CPP 30.12 V1 4022.436.87911 Used
      Amray 91161-1-1 Video Control System Board PCB 91131-1-1 Used Working
      Neslab Instruments 348103030100 Refrigerated Recirculator Coolflow CFT-33 As-Is
      iBT Technologies IB820H Industrial SBC Single Board Computer PCB Socket 478 Used
      Nikon 2S017-450 Control Panel SW-1/F1 KAB11050/3201A-0 Optistation 7 Used
      Nikon 2S017-449-(2S701-476) Control Panel SW-I/F3 Optistation 7 Used Working 
      Lasertec Photomask Reticle Handling Aligner Lasertec MD2500 Used Working
      GasSonics A95-205-01 Lamp Power Box Aura 2000-LL Rev C Used Working
      GaSonics A95-053-02 & A95-205-01 Lamp Power Box Aura 2000-LL Used Working
      GaSonics A95-053-02 Lamp Illuminator Power Box Assembly Used Working
      ASM 2381788-01 QTZ INJECTOR
      ASM 1000-762-01 OPERATION PANEL/50819-1127
      Nikon 9700-6101-01 Metro 300mm Motor Door Latch and Sensor Set New
      ASML 851-8518-005 Rev C A/D COV.-POS.REG. PCB Used Working
      ASM 3751058-01 Flange Front Chamber ATM 300mm New
      Varian GPI 6U PCB 4428900 Working
      Pall T94711286010 Cartridge Filter 0.05μm Filterite
      Nikon Power Supply Module 4S001-107 NSR-S307E DUV 300mm Used Working
      Tec Izu Electronics VDBC0002201 Power Module PCB Card 4S001-107 Used Working
      Nikon 4S014-182 Interface Relay Board PCB AF-I/FX4A NSR System Used Working
      Cymer 05-05184-00 Rev. 001 ADC Interface Board Used Working
      Nikon 4S001-107 Power Supply Card PCB Tec Izu VDBC000220 NSR System Used Working
      Nikon 4S018-729 Relay Control Board PCB REX-AIR Nikon NSR System Used Working
      Nikon Power Supply Module 4S001-107 NSR-S307E DUV 300mm No Cover Used Working
      Nikon NSR-S306C Power Module 4S001-102 Used Working
      Cymer 05-05184-00 Rev. 011 ADC Interface Board Used Working
      Nikon 4S018-887-1 Interface Card PCB NIIP-BASE-M NSR System Used Working
      Nikon 4S018-728 REX Driver Board PCB REX-DRV Nikon NSR System Used Working
      Cymer 06-02003-00 Blower Motor ELS-6400 Laser System Used Working
      Cymer 05-05182-00 Interface Board Used Working
      Nikon 4S001-102 Power Supply Board PCB TDK MSE280E Nikon NSR System Used Working
      MKS Instruments 653B-13181 Throttle Control Valve Type 653B Refurbished
      Nikon 4S008-061 Relay Board PCB ALGAF-PROCESS-A Nikon NSR System Used Working
      Cymer 06-02003-00B Blower Motor ELS Laser System Used Working
      Nikon Power Supply Module 4S001-102 NSR-S205C Step-and-Repeat Used Working
      Granville-Phillips 370101 370 Stabil-Ion Controller Assembly Used Working
      TDK 4S001-102 Power Supply Assembly Nikon NSR-S307E Used Working
      Nikon 4S018-930 Relay Interface Board PCB MTR-CTRL Nikon NSR System Used Working
      Nikon 4S008-060 Relay Board PCB ALGAF-PROCESS-D Nikon NSR System Used Working
      TEL Tokyo Electron Cassette Loader Assembly PR300Z Carrierless BEOL Used Working
      Lam Research 713-028647-149 Ring 713-28647-149 Used Working
      Lam Research 716-011624-005 Insulator Ring Lower Electrode RDCD HGT Rev. A Used
      Lam Research 810-495586-001 4520XL Interlock Board PCB Card Used Working
      Lam Research 716-028489-001 Lamp Wafer 8" Shroud New
      Lam Research 716-028447-002 Ceramic Disk Rev. B New
      Lam Research 715-250593-001 200mm Top Baffle New
      Lam Research 715-011560-001 Quartz Filler Ring Used Working
      Lam Research 810-800961-008 Dual Power Supply V-Sense Interface PCB New
      Lam Research 716-140139-218 Hi Wall H/E Ring ESC 8 Jeida New
      Lam Research 716-030140-003 Ring Assembly Rev. E2 Used Working
      Lam Research 716-018527-181 Ceramic Ring 716-18527-181 Used Working
      Lam Research 716-250080-001 150mm Upper Insulator Ring Used Working
      Lam Research 810-057018-001 96 Pin Extender Board PCB 810-57018-001 New
      Lam Research 715-028772-001 PLT BTM SLD Rev. E1 Used Working
      Lam Research 716-330061-008 Gas Protective Shower Head Ring New
      Lam Research 810-057032-001 DC Servo Driver PCB Card 810-57032-001 New
      Lam Research 716-029504-005 5" Wafer Clamp Edge Ring 716295045 New
      AMAT Applied Materials 0100-01439 FOLC Loop Controller PCB Card Quantum X Used
      Lam Research 715-11746-154 Ring Assembly New
      Lam Research 716-031535-001 Ring Clamp Bottom Electrode ESC Oxide New
      Lam Research 715-028552-001 Upper Electrode Ring Clamp New
      Lam Research 716-011563-152 Wafer Clamp 716-11563-152 Used Working
      Lam Research 716-29504-6 Ring Assembly 716295046 New
      Therma-Wave 14-009631 Digital Interface PCB Rev. B Opti-Probe 2600B Used Working
      Hitachi 569-5549 EVAC Control Panel EVPANEL3 Hitachi S-9380 SEM Used Working
      SVG 99-46616-01 Wafer Cassette Indexer 9021ESI Used Working
      Hitachi 4Z001T-11111 Chamber Plate Assembly M-712E Used Working
      Hitachi 45001T-93812 Chamber Plate Assembly M-712E Used Working
      TEL Tokyo Electron P-8 Camera Control Assembly GP-MF212A KLA 710-805314-00 Used
      Lam Research 715-028771-001 Top SLD PL Ring Used Working
       Verteq 1075459-1 SRD Spin Rinse Dryer Rotor A182-60M-0215 H-BAR-IN Used Working
      Verteq 1103080-3 SRD Spin Rinse Dryer Rotor A72-40MB-0215 H-BAR-OUT Used Working
      Nikon Reticle Transfer Robot End Effector NSR-S204B Scanning System Used 
      Verteq 1099596-1 SRD Spin Rinse Dryer Rotor A82M-0215 H-BAR-IN Semitool Used
      Nikon Ceramic Arm Wafer Loader Transfer Robot End Effector NSR-S204B System Used
      Pentagon 0021-20572 Advanced 6" Pedestal AMAT Applied Materials MRC Eclipse New
      Pentagon Technologies 0020-26403 Cover Ring AMAT Applied Materials Endura New
      E2M18F Edwards A36311982F Rotary Valve Mechanical Vacuum Pump Refurbished
      Nikon 2S701-009 LED Board NTP-NMC-T PCB Card 2S020-061 V1.09 OPTISTATION 3 Used
      Nikon 2S070-031 Keyboard Console 2S700-386 OPTISTATION 3 200mm Used Working
      Novellus 02-810433-00 DLCM Power Distribution Module Concept 2 Altus Working
      Hitachi E-207 E-257 Spray Nozzle Assemblies Qty 4 + Nozzles New
      Helix Technology 8186052G001 CPU Processor Board PCB CTI-Cryogenics Used Working
      Agilent Technologies 013-5030-1 Extended Range KBR BS Lens Assembly Used Working
      Perkin-Elmer 7-8744 Ultek D-I Pump 17356 Incomplete Untested As-Is
      Hine Design 853-4290-001 Load Lock Elevator GaSonics 94-1119 810-2140-005A Used
      CTI-Cryogenics 8186518G003R On-Board IS Controller Rack Mount Panel Used Working
      Hine 853-4290-002 Process Chamber Elevator GaSonics 94-1118 06763-805 Used
      HP Hewlett-Packard 16500C Logic Analysis System 16517A 16518A Used Working
      Agilent 81662A DFB Source Module Used Working
      Opto Sonderbedarf ZOOM 70XL Microscope Lens Teli CS8620Ci Camera Assembly Used
      Novellus Systems 500100676 Right LTM Assembly C3 Vector 300mm used working
      Novellus Systems 500100675 Left LTM Assembly C3 Vector 300mm used working
      Therma-Wave 14-009631 Digital Interface PCB Rev. G Opti-Probe 2600B Used Working
      Varian Semiconductor Equipment E15000400 Rev A Servo Amplifier Board E1000 Used 
      TEL Tokyo Electron 2910-304205-11 Rinse Bath Assembly ACT12 Used Working
      Hitachi 2-813358-A Stainless Steel Flexible Vacuum Flange 6" New
      Hitachi ZPS90 Chamber Block 1-824631  New
      Portwell SBC-SBX-VE SBC Single Board Computer PCB 216006980096 R0M0 Used Working
      HP Hewlett-Packard 10746-60001 Binary Interface Board PCB Card Rev. E Used
      HP Hewlett-Packard 10764-60003 Fast Pulse Converter PCB Card Ultratech 1000 Used
      Ultratech Stepper 0513 4197 00 Servo Stage PCB Card Semifusion Model 152 Used
      HP Hewlett-Packard 10746-60001 Binary Interface Board PCB Card Rev. C Used
      HP Hewlett-Packard 10762-60001 Comparator PCB Card Ultratech 0503-300700 Used
      Ultratech Stepper 0513 4197 00 Servo Stage PCB Card Semifusion Model 151 Used
      Ultratech Stepper 0513-555000 Servo Stage PCB Card Semifusion Model 151 Used
      Ultratech Stepper 0513 4197 00 Servo Stage PCB Card UltraStep 1000 Used Working
      Bio-Rad X18 Xenon Light Source Quaestor Q5 Used Working
      Bio-Rad X18 Xenon Light Source Quaestor Q7 Used Working
      Innova Electronics STS-4015-PT 15-inch Flat Panel Monitor STS-4015-P-AM working
      Fostec 80951 Macro Slit Illuminator Nikon Used Working
      JEOL MP003633-00 FEG PANEL PB Board Assembly PCB JEM-2010F Used Working
      JEOL MP002694-00 FREE CONT PB Board Assembly PCB EM-FLC40 JEM-2010F Used
      JEOL EM-24070 MCP Controller and Cable Set JEM-2010F TEM Used Working
      Tropel 140315 Scan Lens KLA-Tencor AIT UV+ Used Working
      Nikon K-ARX4B1 Optical Prism Assembly NSR-S205C Untested As-Is
      AMAT Applied Materials SemVision cX SEM Tilt Motor Assembly Used Working
      SVG Lithography Systems 859-8366-011 Power Supply Assembly ASML Used
      Ultratech Stepper 03-20-01955 Focus A/D 5 Axis PCB Assembly Rev. E 2244i Used
      AMAT Applied Materials 0270-03260 300mm Cooling Plate Lift Fixture New
      Nikon 4S018-929 Motor Control Board NSR SeriesUsed Working
      Agilent Z4206A I/O Card Circuit Board ASML 4022.470.06582 Used Working
      Nikon 4S018-927 Motor Control Board PCB NSR Series Used Working
      Nikon 4S013-358 RLIOP-I/F Interface Board PCB Rev. A NSR-S306C Used Working
      KV Automation 4022.480.62992 Manifold 4022.486.18071 Nikon Reticle Loader Used
      Oerlikon USA 10284242 CPL Sensor Set for Unaxis 300mm New Surplus
      Nikon 4S018-531-1 PCB Board NSR Series WL3MTR-H6 Used Working
      Nikon 2S014-065 MCR-ISA Board PCB Metro Used Working
      DS Techno Co. S3T40542 Upper Electrode (10T)
      ASM 04-405109-01 KIT-TRANSMITTER H2 0-4% MODIFIED
      Mykrolis QCCZATM01K QuickChange ATM Chemlock .05μm Prewet Filter
      Brooks Automation 6600-17 Semitool Robot Assembly Used Working
      Globe Motors 409A6043-3 AUTODOOR RH MOTOR/SWITCH ASM 02-331710D02 
      ASM 1060-390-01 CL2 GAS DET SPLIT EXHAUST CABLE KIT
      MKS Instruments 153D-20-40-2 Throttle Control Valve KF40 ASM 50-123824A67 New
      Kniel 314-200-04 .03 Power Supply PCB Card CP 8,5.2/SEMI 4022.436.72661 Used
      SVG 99-80210C3-01 Controller PCB 99-80299-01 Chill Plate A/D Rev 52 90-S used 
      CTI-Cryogenics 0500-00277 On-Board IS Controller Rack Mount Panel AMAT Used
      Yaskawa Electric JAMMC-SRC03I NOP OM-2 PCB Card DF9200878-B0 Used Working
      IMS Electra 121-0280-031 ATS100 Datamodule PCB Card 100-0280-001 Used Working
      VAT 12044-PA24-1002 Vacuum Gate Valve ISO160 TMP Pump Adapter TEL Unity II Used
      SVG 99-80267-01 Circuit Board Shuttle Interface Rev E 90S Used Working
      Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Copper Cu Exposed Used
      DNS Dainippon Screen VME-HLS-DS Processor VME Card PC-99033D PCB SL-1012B Used
      SVG A1900 P.O. Isolator 859-0564-002-F working
      Agilent Z4206A I/O Card Z4206-68004-4234-55 Used Working
      AMAT Applied Materials 0130-01244 Smoke & Water Leak Detector PCB Rev.002 Used
      SVG Silicon Valley Group 859-0950-008-C Power I/O Module A1300 Used Working
      Nikon 4S018-928 COM-CTRL Control Board PCB Used Working
      Nikon AF-PSDX22-SUB NSR Scanner PCB 4S007-994 Lot of 9 Used Working
      Nikon 4S018-925 COM-CTRL Board PCB Used Working
      Toshiba E3 Variable Drive Assembly VT130E3U4110 Used Working
      SVG A1900 P.O. Isolator 859-0564-002-D working
      RECIF Technologies ECUA00002 SA Wafer Sorter/Handler Computer As-Is
      WPI THERMIFLEX 263119 Robot Teach Pendant New Surplus
      AMAT Applied Materials 0200-36544 Isolator, Lid Flange, TI-XZ 200MM New
      Granville-Phillips 370501-A10-T1 370 Stabil-Ion Controller Assembly Rev. 0 Used
      Granville-Phillips 307001 307 Vacuum Gauge Controller 307005 Used Working
      Vacuubrand MZ 2C Chemistry Diaphragm Vacuum Pump Used Tested Working
      Ultratech Stepper 0553-626000 Alignment Controller Card PCB Used Working
      AMAT Applied Materials 0200-35335 Ceramic Capture Ring Refurbished
      ASM 1095-215-01 RING-FLOW CONTROL PRETREAT QUARTZ Refurbished
      CALMETRICS S07494-2 ISO17025 Accreditation Cert L2319 Set
      AMAT Applied Materials 0020-78697 Wafer Ring 8" Tungsten New Surplus
      Granville-Phillips 307502-D00-T1 Vacuum Gauge Controller 307100 Used Working
      ASM Advanced Semiconductor Materials 73065-00006A Slave CPH PCB Card Used
      AMAT Applied Materials 04079-0001 8" COHERENT TI CHAMBER KIT Refurbished
      TEL Tokyo Electron HTE-PRB-A-11 PRB Panel I/O Board PCB TAB1100 ACT12 Used
      Granville-Phillips 307001 Vacuum Gauge Controller 307005/06 Process 307017 Used
      Kokusai Electric 2DI-10035-03 Lift Assembly Used Working
       TEL Tokyo Electron CPC-T0081A-12 Chemical I/O Board #02 TOB1082 Used Working
      Granville-Phillips 307001 307 Vacuum Gauge Controller 307005/06 Used Working
      HP Hewlett-Packard 10746-60001 Binary Interface Card PCB Used Working
      Nikon 4S008-261 Power Supply Board PCB IU-PWR2-X4P4 H=40mm NSR Series Used
      TEL Tokyo Electron CPC-T0001A-13 Chemical I/O Board TOB1001 ACT12-300 Used
      ASM 1094-661-01 RING-FLOW CONTROL PRETREAT New
      RADIALL R574 402 825 RF Microwave Coaxial Switch
      HP Hewlett-Packard 10764-60010 Fast Pulse Converter Card PCB Used Working
      Ultratech Stepper 0553-621600 Focus Driver Board PCB Used Working
      Nikon 2S070-031-4 Operator Interface Keypad Console 2S700-386 Used Working
      Aviza Technology 603374-01 Heater Burn-In Plug Spacer Assembly Used Working
      Tegal 37-231-602 Chuck Ring Used Working
      Kokusai Electric IL100B(64) Control Chassis Zestone DD-1203V 300mm Used Working
      Hitachi Kokusai IL100C Control Chassis Kokusai Zestone DD-1203V Used Working
      TEL Tokyo Electron 3D81-050028-V1 Circuit Board PF-DB LM CONT T-3044SS Used
      Kokusai Electric D2E01310A PCB Assembly D3E01299A D4E01298 DD-1203V Used Working
      TEL Tokyo Electron 2L81-050048-92 Circuit Board TYB62B-9/LM-LF T-3044SS Used
      TEL Tokyo Electron 2L81-050048-15 Circuit Board TYB62B-1/LM-LF T-3044SS Used
      Kokusai CX1229B-1 Controller Kokusai Zestone DD-1203V 300mm Used Working
      Kokusai Electric IL100B (32) Control Chassis Zestone DD-1203V 300mm Used Working
      Nikon 4S007-900-1K Circuit Board PCB IU-X2A Nikon NSR-S204B Used Working
      TEL Tokyo Electron 2987-416025-W3 IRA X-Axis Assembly 2980-191974-11 ACT12 Used
      Edwards ISO100 ISO-K High Vacuum Tube NW25 iQDP Series Lot of 13 Used Working
      Hitachi 1-A04827-01 Head Piece New Surplus
      Bio-Rad Y8000080 Optics Frame Assembly Y7802100 Quaestor Q7 Used
      Asyst 04290-101 Load Lock Elevator 94-1119 Rev. B A90-031-03 Used Working
      Kokusai Electric IL100(32) Zestone DD-1203V 300mm Control Chassis Used Working
      Kokusai Electric IL100A(32) Zestone DD-1203V 300mm Control Chassis Used Working
      Nikon 4S007-900-1K IU-X2A Board PCB AD676JD Used Working
      AMAT Applied Materials 0240-50175 Endura2 CVD Gasbox Dual Exhaust Kit New
      Nikon 4S007-865 SR8-XY2 Interface Board PCB A-552 NSR Used Working
      Nikon 4S015-130-1 Interface Board PCB NK-C31D21 Lot of 10 Used Working
      AMAT Applied Materials 9240-04481 ITL Grounding Bar Assembly Lot of 2 Used
      TEL Tokyo Electron 3D10-101277-V2 Depo Shutter Assembly New
      Hitachi 569-5518 EVAC Control Panel EVPANEL3 Hitachi S-9300 SEM Used Working
      Pentagon Technologies PT-0643-0013 Quartz Bell Jar Endura 8" PVD New
      KLA-Tencor 781-11759-000 Lid Lift Leg Assembly Used Working
      Nikon Power Supply Module 4S001-107 Used Working
      Edwards D37207800 Vacuum Pump Electrics Module No Cover Used Working
      AMAT Applied Materials 0021-78097 Reflexion PT-44 RR SMC Regulator Assembly Used
      IMS Electra 120-0280-801 ATS100 Datamodule PCB Card 100-0280-001 Used Working
      Hitachi RF Chamber Components MB10Z-B3 2M130 M-511E Used Working
      IMS Electra 120-0280-021 ATS100 Datamodule PCB Card 100-0280-001 Used Working
      IMS Electra 120-0292-502 Blazer 2 Controller PCB Card 100-0292-002 Used Working
      IMS Electra 120-0280-031 ATS100 Datamodule PCB Card 100-0280-001 Used Working
      IMS Electra 120-0088-004 Timing Module PCB Card 100-0088-004 Used Working
      Net Flow Research 826308B 2-Channel Temperature Probe Reseller Lot of 12 New
      AMAT Applied Materials 0021-36689 Heater Leveling Plate TxZ Precision 5200 New
      Therma-Wave 14-008473 Auto Focus AT PCB Rev. B 14-007003 Rev. G Opti-Probe Used 
      Vicor MX5-412500-23-EL 4kW Mega Pac Power Supply Lot of 3 Used Working
      Vicor MX4-410503-33-EL 4kW Mega Pac Power Supply Lot of 3 Used Working
      Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working
      Vicor 97923225 Mission Power Solutions 700-0034-01 Power Supply Lot of 3 Used
      SVG Lithography Systems 859-8366-004 Power Supply Assembly ASML Used Working
      SVG Lithography Systems 859-8366-010 Power Assembly Rev. A Used Working
      Hitachi 545-5570 PCB Card Video AMP S-9300 Used Working
      SVG Lithography Systems 859-8366-011 Power Supply Assembly ASML Working
      TEL Tokyo Electron 3281-000019-15 PCB Card TVB3401-1/GPIB P-8 Used Working
      Elctroglas Lens Illuminator Assembly 255337-001 Rev. A Used Working
      RECIF Technologies Wafer ID Handler IDLW8 IDLW8-A9607 missing parts As-Is
      Nikon 17AC-1 Pneumatic Air Module Used Working
      TEL Tokyo Electron  WZ10-102823-11 X4 Shield Used Working
      AMAT Applied Materials 0021-78095 Reflexion Z1/(IT) Manifold Assembly Cu Used
      Nikon 4S018-920 Interface Board PCB WLSPLNK NSR-S307E Used Working
      AMAT Applied Materials 0021-78095 Reflexion Z3/(MM) Manifold Assembly Cu Used
      Nikon 4S019-164 Relay Interface Card PCB PPD3T-SIG Nikon NSR-S307E Used Working
      Kokusai Electric D1E01225A Communication PCB Card SCOM3A Used Working 
      Nikon 4S019-119 Interface Board PCB WLIR_I/F NSR-S307E Used Working
      AMAT Applied Materials 0021-78095 Reflexion Z2 SMC Manifold Assembly Cu Used
      TCP 380 Pfeiffer Vacuum PM C01 680 Turbomolecular Pump Controller Damaged As-Is
      AMAT Applied Materials 0021-78097 Reflexion RR SMC Manifold Assembly Cu Used
      Asyst Technologies Load Port Door Assembly PCB 3200-1251-01 Used Working
      Asyst 04290-201 Process Chamber Elevator Novellus 94-1118 Nikon NSR-S205C Used
      AMAT Applied Materials 0090-02233 HDPCVD Interlock PCB Card 0190-00285 Used
      TEL Tokyo Electron 2L81-050152-V1 Analog I/F PCB YWP-C Assembly T-3044SS Used
      TEL Tokyo Electron E280-000004-14 CCI Master DI/DO PCB Card T-3044SS Used
      Ametek Series 2000 Thermox Oxygen Monitor 80457SE Used Working
      Telemecanique LXM15LD21M3 Servo Drive Lexium 15 LP No Fan Used Working
      KV Automation 4022.480.62992 Pneumatic Assembly ASML 4022.480.62681 Used Working
      Asyst 853-4290-101 Load Lock Elevator GaSonics 94-1119 Used Working
      Amray 92084-01 PC17V WHS Sensors/Valve Drivers PCB 800-3123 Used Working
      PTM 5154 Alcatel Hybrid Turbomolecular Pump Turbo Tested Not Working As-Is
      Hine Design 04290-101 Load Lock Elevator GaSonics 94-1119 Used Working
      Amray 9200-01-1 PC15V OMF Interface PCB 800-2720 Used Working
      Amray 92008 Stepper Motor Driver PCB Rev. A Used Working
      Mizar 6800-03417-0001 7300 Serial PCB Used Working
      TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working
      Nemic-Lambda Control Rack Power Supplies PDM-100 EWS300 EWS15-5 EWS50-24 Used
      Stearns 2-12-1807-00-AEH Drive Assembly MRC A126257 Eclipse Star Used Working
      Compumotor Opti-Probe 2600B Indexer Motor Adapter PC-23 Used Working
      Noah Precision SVG 90S Fluid Temperature Bath 2005 Rev. D Used Working
      Stearns 2-12-1807-00-AEH Drive Assembly MRC Eclipse Star Used Working
      Machine Technology 2217605501 Motor Control III PCB Used Working
      Machine Technology 2217605132 Processor II PCB Used Working
      SVG Silicon Valley Group 80166F3-01 Station CPU PCB Board Used Working
      Hitachi 569-5517 EVCONT3 PCB S-9300 Scanning Electron Mircoscope Used Working
      Machine Technology 2217605133 Processor II PCB Used Working
      LF-5 AE Advanced Energy 3150012-009 RF Generator RFPP AMAT 0920-01014 Used 
      Professional Computer Systems PCS4900 Control Card PCB Rev. D Used Working
      Nikon 4S008-049-D Interface Processor Board PCB alg-Z8277 Used Working
      Osicom Technologies 00-501-451 Interface Module DCP-1A/LPF-1451 KLA AIT I Used
      Polytec BVS-II-Plus Wontan Flash Stroboscope KLA-Tencor 11301400195000 Used
      KLA-Tencor GPIO Polarized Lens Assembly Laser Optics AIT I Surfscan Used Working
      Nikon 2S013-076 Prealigner Interface Board PCB 2S700-600 OPTISTATION 3 Used
      Therma-Wave 14-007582 Analog Processor PCB Card Used Working
      Polytec BVS-II-Plus Wontan Flash Stroboscope KLA-Tencor 11301400190000 Used
      MKS CV7627A-01 Vacuum Isolation System 627A.1TAD-----S 750B Tested Used Working
      AMAT Applied Materials 0010-08322 Local RF Match Used Untested As-Is
      MKS CV7627A-05 Vacuum Isolation System 627A.1TAD-----S 750B Tested Used Working
      MKS CV7627A-05 Vacuum Isolation System 627A.1TAD-----S 722A Tested Used Working
      IBM 57F2434ESD SBC Single Board Computer 57F2705 PCB SVG 90S DUV System Used
      MKS CV7627B-41 Baratron Vacuum Isolation System 627B-27102 Tested Used Working
      RadySys 68-0056-11 SBC Single Board Computer PCB ASML 859-8379-001 As-Is
      TMH 200M P Pfeiffer PM P03 050 Turbomolecular Pump Turbo Used Tested Working
      Vicor MXB-48051-33-EL 4kW Mega Pac Power Supply Lot of 3 Used Working
      Therma-Wave 14-008473 Auto Focus AT PCB Rev. B 14-007003 Rev. H Opti-Probe Used
      VAT 08140-FA24-ABX1 Vacuum Gate Valve Series 081 New
      Therma-Wave 14-008473 Auto Focus AT PCB Rev. D 14-007003 Rev. I Opti-Probe Used
      TMH 200M P Pfeiffer PM P03 050 Turbomolecular Pump Turbo Not Working As-Is
      Omron R88M-H1K130 Servo Motor Seisa BL3-20A-11TAHDK1 Gear Drive Lam 4420 Used
      Nikon 2S014-065 MCR-ISA Board PCB OPTISTATION 7 Used Working
      CTI-Cryogenics 8113018G001 On-Board Series 8101 Input Processor Used Working
      SMC 60-600455-006 Circuit Board PCB Used Working
      Nikon 2S014-066 MIC-ISA PCB Board OPTISTATION 7 Used Working
      Nikon 4S018-808 BMU-PWR Power Control PCB Board Used Working
      AMAT Applied Materials 0100-94078 Argon/Oxygen Bleed and Charge Monitor PCB Used
      Nikon 4S003-057-STG-I/F1 Stage Interface Board OPTISTATION 7 Used Working
      TEL Tokyo Electron 1D81-000129-11 Control Panel Assembly TYB222 Unity II Used
      Techsol 009-99FFU Filter Unit KLA-Tencor 456098 HRP-340 Used Working
      Asyst Technologies 8045R2-1-ES-ASYST Robot Teach Pendant 8045R2-1 New Surplus
      Millipore INGEN1PUO Photoresist Dispense System IntelliGen Used Working
      Granville-Phillips 370501-A10-T1 370 Stabil-Ion Controller Assembly Rev. 00 Used
      TEL Tokyo Electron CPC-T0001A-13 Chemical I/O PPC-T0006A-11 T0B1001 Used Working
      Granville-Phillips 307091 Rack Mount Vacuum Gauge Controller 01 307017 Used
      AMAT Applied Materials 0100-90092 Arc Switchmode PCB Card XR80 Used Working
      VAT 14044-PE44-0004 HV Pneumatic Actuated Gate Valve Used Working
      AMAT Applied Materials 0100-90221 Arc Linear PCB Card XR80 0120-90739 Used
      Alcatel Pascal 1005 SD Rotary Vane Pump Meivac 2460 Used Tested Working
      GaSonics A95-205-01 Lamp Illuminator Module Rev. B A90-53-02 Aura 2000LL Used
      Granville-Phillips 307001 307 Vacuum Gauge Controller 307005/06 MeiVac 2460 Used
      Alcatel Pascal 1005 SD Rotary Vane Pump with Inlet Port Used Tested Working
      Granville-Phillips 307004/06 Vacuum Gauge Controller Assembly MeiVac 2460 Used
      GaSonics A95-205-01 Lamp Illuminator Module Rev. C A90-53-02 Aura 2000LL Used
      Fujitsu FAS-250/17NS2 DC Power Supply 4T070-244 Nikon NSR-S620D Used Working
      Fujitsu FAS-360/14NP2 DC Power Supply 4T070-852-1 Nikon-NSR S620D Used Working
      Lambda EMI 00500001 Power Supply 585051 Untested As-Is
      Nikon IPA Vapor Level Control Module NSR Used Working
      Therma-Wave 14-009631 Digital Interface PCB Rev. C Opti-Probe 2600B Used Working
      Novellus 01-133875-00 SIOC Anneal Controller Digital Dynamics 02-134495-00 As-Is
      Irie Koken 1SV25M0 Angle Valve Lot of 10 Used Working
      Digital Dynamics SIOC Power Supply 27-053660-00 Used Working
      Lam Research 810-17012-002 Heartbeat PCB Rev. 2 Rainbow 4420 Used Working
      Digital Dynamics 02-134495-00 SIOC Anneal 4 Interlock Module Used Working
      Tec Izu Electronics VDBC0002202 Power Module PCB Card 4S001-108 Used Working
      Screen PC-97009 COMDIV SL-3010 VMEbus PCB Card FC-3000 Used Working
      Shimadzu EI-D3603M Cable Set 262-78189-51V1 262-76411-51V2 AMAT 0620-02820 New
      KLA-Tencor Mark II Right Top Plate 740-680567-000 New
      TEL Tokyo Electron 1B80-002411-11 Temperature Controller Komatsu AIC-7 Used
      AMAT Applied Materials SET-E832-001-Q-62 SPACER PIPE QUARTZ EMAX New
      Perkin-Elmer REBG-PF-400 DI Ultek D-I Differential Ion Pump +HV Diode Used As-Is
      Veeco MS-9 Leak Detector System Welch Duo-Seal 1400 Tested Not Working As-Is
      Asyst 04290-101 Load Lock Elevator GaSonics 94-1119 Hine Design 06763-805 Used
      Asyst 04290-201 Process Chamber Elevator Rev. 0 GaSonics 94-1118 Used Working
      Hine Design 853-4290-001 Load Lock Elevator GaSonics 94-1119 06763-005 Used
      Hine 853-4290-002 Process Chamber Elevator GaSonics 94-1118 06763-005 Used
      Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-805 Used
      Asyst 04290-101 Load Lock Elevator Rev. 0 GaSonics 94-1119 Hine Design Used
      Asyst 04290-101 Load Lock Elevator Rev. 1 GaSonics 94-1119 Hine 06763-005 Used
      Hitachi 568-5572 Operators Vacuum System Panel S-9300 CD SEM Used Working
      Asyst 04290-101 Load Lock Elevator GaSonics 94-1119 Hine Design 06763-005 Used
      Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-005 Used
      Therma-Wave 14-007003 Auto Focus AT PCB Rev. G 14-007009 Opti-Probe Used Working
      SVG Silicon Valley Group 38465-01 Spin Chuck DEV 90SER 200mm ASML SVG90 New
      AMAT Applied Materials 0041-00186 300mm Gas Ring New Surplus
      KLA-Tencor 710-659465-20 Rev. B0 PCB Used Working
      Pall T7107010300 Housing Filter CMP Capsule Reseller Lot of 18 T46141-33 New
      Parker Regulator PR-3-42612-2-01 Lot of 3 New
      Entegris S6205-0203 6.2 Gallon Overflow Tank 15" x 10.5" new Semitool
      AMAT Applied Materials 01-82622-00 5" Platen Aluminum Pedestal Lot of 9 Used
      Nikon 4S005-206-F Area Image Sensor PPD-CCD PCB NSR Series Used Working
      TEL Tokyo Electron OYDK-102 Circuit Board PCB I/O EXT CHEM #02A Used Working
      TEL Tokyo Electron OYDK-060 Circuit Board PCB I/O EXT CHEM #02 Used Working
      ASM 02-338455-01 ASSY-TC FRONT/REAR STRAIGHT LGE TIP OD
      KLA-Tencor Crystal Lens Assembly GPIO Laser Optics 4109-1 AIT I Surfscan Used
      Kokusai Electric Tweezer End Effector Zestone DD-1203V Used Working
      ASM 1004-257-01 CHASSIS-LIQUID SOURCE ELEC BOX RH
      ASM 16-188125D01 BELLOWS-ROTATION-RESTRICTED
      Tosoh 805-375-SG-F-310 Upper Shield AMAT Applied Materials Endura New
      Nikon PPAT6403A Motor CCNT PCB Metro 200mm New
      Nikon 2S020-061 S/AF PCB Board OPTISTATION Used Working
      Tosoh 805-377-TA-F-157 Upper Shield AMAT Endura New
      ASM 1004-707-01 TRANSFORMER-5KVA NCT 1PH 208V/120V
      MKS Instruments 153D-12018 Throttle Valve Controller Type 153 New
      Nikon 2S003-056 MCR DRV PCB Board OPTISTATION Used Working
      KLA-Tencor 261408 4 Channel Motor Control PCB Rev. AE AIT UV Used Working
      ASM 1067-983-01 MANOMETER-HTM 100 TORR
      Edwards NGW073000 Pneumatic Gate Valve ISO100 Copper Cu Exposed Used Working
      AMAT Applied Materials 0100-11003 Rev. C Stepper Drive Board P5000 Used Working
      Edwards NGW073000 Pneumatic Gate Valve ISO100 Used Working
      Nikon CS017-008 Relay Control Board PCB KSN-1 NSR-S204B Step-and-Repeat Used 
      Nikon 4S018-445-? Processor Board PCB Card EPDRV2-X2A NSR-S202A Used Working
      Edwards D37280700 Pump Display NRY0190412 Switch Box for iGX Pumps New Surplus
      MKS Instruments 123714-G1 GBROR InSitu Flow Verifier CBRORS-3-20 Used Working
      Medtronic 1718A012 Y4 Surger Control MIE586 Temperature Chamber Used Working
      Oriel 68805 Universal Power Supply 40-200 Watts Used Working
      Tropel 140315 Scan Lens KLA-Tencor AIT UV+ Used Working
      Kokusai Electric T2DC6-12143 BTBAS Controller CX3-GPLON Module Working
      Nikon NSR Series LC-PRES2B PCB 4S080-627
      Nikon 4S005-341-2 MCR-CNT Board OPTISTATION 3 Used Working
      Silicon Thermal T250P.8 Solid-State Temp Control Chiller 800W 
      ASM 1024-632-01 LOWER AEG AL BLADE
      TEL Tokyo Electron 3D80-001488-V2 Power Supply & Distribution T-3044SS Used
      Granville-Phillips 307130 Vacuum Gauge Controller 307 Cover Damage Used Working
      Varian Semiconductor Equipment E15001B12 Servo Control PCB Card Refurbished
      AVAL Data AVME-510 Processor Board PCB TKK-5 TSM-511 Used Working
      Matsushita Nais AFP0480 PLC FP0-A21 Vexta DFC1507 Cosel R10A-5-N Used Working
      Matsushita Nais AFP0480 PLC FP0-A21 Vexta DFC1507 Cosel R10A-5 Used Working
      Matsushita Nais AFP0480 PLC FP0-A21 Vexta DFC1507 Cosel K10AU-5 Used Working
      Matsushita Nais AFP0480 PLC FP0-C16T Vexta DFC1507 Cosel R10A-5 Used Working
      Hitachi 560-5544 MHVC PCB Assembly S-9300 SEM Used Working
      Asahi D2990 Liner Pulsemotor Controller PCB Nikon 4S014-178 NSR-S205C Used
      Advanet A6pci7508 SBC VME Card IF2X8 PCB Nikon 4S015-485 NSR-S620D Used Working
      Advme7511 SBC PCB Assy 4S015-485 Nikon NSR-S620D Used Working
      Sony 1-876-863-11 PCB Assy DPR-LS52 Nikon NSR-S620D Untested As-Is
      Spectrum 260-00625 PCB FRU 600-00288 Nikon NSR Series Used Working
      Advanet Advme 7511A SBC VME Card 4S015-588 PCB Nikon NSR-S620D Untested As-Is
      Nikon 4S018-786-A Circuit Board PCB C30-I/F-X4 NSR-S205C Used Working
      Spectrum 260-00698 Circuit Board FRU 600-90072 Nikon NSR-S205C Used Working
      Advanet Advme7511 SBC VME Card IF2X8 PCB Nikon 4S015-485 NSR-S620D As-Is
      Yamatake 81423445-001 0908Ne PCB DMC44CVR40001000 Nikon NSR-S620D As-Is
      Spectrum 260-00651 Processor VME PCB Card FRU-600-90051 Nikon NSR-S307E Used
      Advanet Advme7511 SBC VME Card 4S015-485 PCB Adpmc 1548 Nikon NSR-S620D Used
      Sony 1-876-865-1A PCB SV-LS02 Nikon NSR-S620D Missing Capacitors As-Is
      Advanet A6pci7508 SBC VME Card Nikon 4S015-461 NSR-S620D Untested As-Is
      Advanet Advme 7511A SBC VME Card 4S015-588 PCB Nikon NSR-S620D As-Is
      Advanet AGPCI7508 PCB VME Card 4S015-498-1 Nikon 4S013-768 NSR-S620D Used
      Spectrum 260-00698 Circuit Board FRU 600-90072 Nikon NSR-S307E Used Working
      Agilent Z4381-60001 Phase Detector PCB Card Z4381A Nikon NSR-S205C Used Working
      Advanet Advme 7511A PCB VME Card 4S015-588 Nikon 4S013-768 NSR-S620D As-Is
      Advanet Advme 7511A SBC VME Card Adpmc 1548 PCB Nikon 4S015-485 PCB As-Is
      Advanet Advme 7511A SBC VME Card 4S015-588 PCB Nikon NSR-S620D As-Is
      Lam Research 716-011036-001 Alumina Orifice Filler Ring Used Working
      ASM 1129-538-01 Catalyst Flow Injector ISO63-NW25 RDCR New
      UNIT Instruments UFC-8100 Mass Flow Controller MFC Model 8100 10 SCCM N2 New
      Nikon 4S001-070-01 Power Supply Board PW-NE NSR-S204B Control Rack Used Working
      Densei Lambda PS-1201U Momentary Line Drop Protector As-Is
      Therma-Wave 14-008249 Auto Focus Analog AF MOD3 PCB Rev. A1 Used Working
      UNIT Instruments UFC-8161 Mass Flow Controller 6 SLM H2 ASM 54-123498A42 New
      Therma-Wave 14-003979 CPU I/O MK II PCB Card Rev. A1 Used Working
      Therma-Wave 14-002003 Stage Indexer PCB Card Rev. I3 Used Working
      Nikon 4S008-053-A Interface Control Drive Board PCB PRE2 Drive NSR-S204B Used
      Integrated Power Designs SRW-115-3001 Triple Output Power Supply New
      Therma-Wave 14-001882 Micro-Stepping Sequencer PCB Rev. F Used Working
      TEL Tokyo Electron 3D80-00766-V2 ECC2 Controller MC Rack T-3044SS Used
      KLA-Tencor 073-775012-00 Video Switch Module 2552X Analysis Station Used Working
      KLA-Tencor 720-01488-003 O/S Actuator Elevator Assembly Untested As-Is
      Nikon 4S003-056-1 MCR-DRV PCB Board 2S003-056-1 KAB11000/3801-0 Used Working
      AMAT Applied Materials 0021-09178 Outer Can MXP+ New
      Tyco Electronics AT-007195 RB Attenuator OpenSky M/A-COM Rev. B Lot of 25 New
      AMAT Applied Materials 0021-09179 Rev. P1 Inner Can Assembly New
      National Display Systems 90X0077 Monitor DM-3S12/ZN Used Working
      Electroglas 200mm Wafer Stage PCB Interface Assembly Horizon 4085X Used Working
      Pentagon Technologies WZ10-103558-11 X1 Large Upper Shield Used Working
      TEL Tokyo Electron Lower Elevator Assembly for Eureka 2000
      AMAT Applied Materials 0010-03171 Susceptor Assembly
      XYCARB CERAMICS XYA-632279-04 Hanger Quartz 7800
      Edwards SB74951000 Turbo Pump Housing for STPH1000C
      Nikon 2S003-038 Stage Interface Board OPTISTATION 3 Used Working
      Hamamatsu 8790084002 PMT Detector ASML SVGL860 
      Novellus 02-359080-00 Robot Linear Track Used Working
      Nikon 2S014-038 MCR Control Board PCB OPTISTATION 3 Used Working
      STEAG MICROTECH 49935 Controller
      ASM 3552985-02 SUSC-150MM-CONCAVE-6.65OD-XYCARB
      ASM 02-146800-01 ASSY-PANEL-HCL TEST W/N2 PURGE-RH
      ASM 1004-258-01 CHASSIS-LIQUID SOURCE ELEC BOX LH
      ASM / Bronkhorst 830066497 CONTR PRESS P-502C-FAC-89P-0
      TEL Tokyo Electron 5085-404901-11 300mm Wafer Fork 5010-305346-11 Lithius Used
      Karl Suss MicroTec Model 1000 UV Intensity Meter 10013995 405nm Used Working
      TEL Tokyo Electron 5085-404901-11 300mm Wafer Fork 5010-305344-11 Lithius Used
      KLA Instruments 710-650074-20 ZPLL PCB Card 2132 Wafer Defect System Used
      JEOL EH-RPS02 Manual Adjustable -/+180° Phase Shifter Used Working
      AMAT Applied Materials 0100-76012 HDP Chamber Interface PCB Card Used Working
      EBM Papst 505-3004 System 3000 24 & 48V Fan Monitor PCB Reseller Lot of 9 Used
      KLA Instruments 710-663509-000 Autofocus AF Control Board PCB Card 2132 Used
      KLA Instruments 710-659603-20 Y-SAC Single Axis Controller PCB Card 2132 Used
      AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
      KLA Instruments 760-660804-00 2132 Illuminator Power Assembly Oriel 68868 Used
      Steag RTP Systems 7100-7870-06 AC Power Supply Used Working
      Chatillon BP15-400T III Mechanical Bench Scale Type 15 Used Working
      AMAT Applied Materials 0100-20004 Chamber Interconnect Board PCB Used Working
      KLA Instruments 655-658899-00 Laser Optics Lens and Mirror Assembly Used Working
      KLA Instruments 710-658770-20 X-SAC Single Axis Controller PCB Card 2132 Used
      KLA-Tencor 870926 Processor Board TR2B.A.o PCB Card SE-7042 2552X Used Working
      Accurate Gas Control Systems AGT3354D-1 Chiller Siezed Pump Used Tested As-Is
      SMC CDQ2B140C-J0406-XC11 Pneumatic Cylindar Wafer Chuck Hitachi M-511E Used
      KLA-Tencor 740-607104-00 Magnetic LENS Current Supply eS20XP E-Beam Used Working
      Schlumberger 97847521 Double Gated Integrator PCB 40851121 IDS 10000 Used
      Hitachi EC2 Transformer Unit Etch Chamber M-712E Trench Etcher Used Working
      KLA-Tencor 710-613084 Thick Board Assembly El Guapo Board eS20XP E-Beam Used
      Shimadzu 265-11088-15V1 Turbomolecular Pump 262-78491-15V2 Cable Set 15M Used
      Novellus Systems 02-149841-01N C3 Vector 300mm Assembly 15-156474-01 Used
      Schlumberger 97847502 Blanking Generator PCB 40847502 IDS 10000 Used Working
      CTI-Cryogenics 8031348G001 Cryogenic 8500 Compressor Tested Not Working As-Is
      Novellus Systems 02-149841-02 C3 Vector 300mm Assembly 15-156474-02 Used
      SMC CDQ2B140C-J0406-XC11 Pneumatic Cylindar LED511 Wafer Chuck Hitachi Used
      A-B Allen-Bradley 1404-M405A-DNT Powermonitor 3000 Set 1404-DM Used Working
      KLA-Tencor 740-607105-00 Magnetic WIEN Current Supply eS20XP E-Beam Used Working
      KLA-Tencor 710-609954-006 Ramp Junction PCB Assembly eS20XP E-Beam Used Working
      VAT 02112-BA24-AOZ1 Rectangular Gate Valve MONOVAT Series 02 Used Working
      Therma-Wave 18-022849 Laser Power Supply Assembly Opti-Probe 2600B Used
      Banner Engineering MGR616A Light Curtain Receiver MGE616A Emitter Beam Array 
      Hitachi HT98218 Control PCB COM VER. A Used Working
      M.E.C. Tech MEC81105-208A Clip Support Spacer Reseller Lot of 21 New
      Nikon 4S013-481 Control Board STGX41B PCB NSR-S307E Used Working
      TEL Tokyo Electron 3281-000148-12 PCB LST-2 Board PCB Card 3208-000148-11 Used
      AMAT Applied Materials 0090-35766-P1 5" DPS ESC Electrostatc Chuck Used Working
      AMAT Applied Materials 0200-00156 Shadow Ring New
      FTI Finish Thompson Inc VKC80VST336 Vertical Pumps Magnetic Drive 70959-25 new
      TEL Tokyo Electron 7310-9858-01 Bracket O2 Sensor Mount Rev A Lot of 52 New
      Edwards 15-112301-00 Dark Space Ring Shield Refurbished
      Contemporary Controls EXP-FOG-ST Fiber Optic Hub New
      AMAT Applied Materials 0020-28615 8" Low Knee Shield New
      Leybold 200-81-189-002 PowerUnit PU 113754413 working
      Wasco SV129-31W2A-X Vacuum Switch Lam Research 853-01780-002 Lot of 8 New
      Asahi Kogyosha F930 79 44 (3) I/F Interface Board PCB 36020230 Nikon NSR Used
      Asahi Kogyosha 36020167 Chamber I/F Board PCB Nikon NSR Series Used Working
      M.E.C. Tech MEC50005-534-1 Tapered Finger Oxide Clamp Ring AMAT 0020-33739 New
      M.E.C. Tech MEC83305-1010A Focus Ring Reseller Lot of 84 New
      Asahi Kogyosha F930 79 43(3)A EX Intlock Board PCB 36020223A Nikon NSR Used
      AMAT Applied Materials 0100-94037 Loadlock System Control Panel Used Working
      Edwards 15-136402-01 Dark Space Ring Shield Refurbished
      Lam Research 716-011505-001 7.98" Diffuser Disc New Surplus
      THK GL15S16+400L Linear Actuator 400mm Stroke Used Working
      AMAT Applied Materials 0240-13190 Titan SP Head 300mm Fixture Kit New Surplus
      Maxon Motor 135603 SHRINC DC Motor Nikon NSR-S204B 4S602-208-1 Used Working
      TEL Tokyo Electron 300mm Wafer Transition Station Interface Block Lithius Used
      Maxon Motor 135603 Field Lens Revolver Motor 4S602-208-14 Nikon NSR System Used
      Faulhaber Minimotor HEDS 550 F14 Servo Actuator Nikon NSR-S205C Used Working
      Pentagon Technologies 0020-24898 6" Shield AMAT Applied Materials Endura New
      Ultrapointe 001000 Fast Z Controller PCB Rev. A KLA-Tencor CRS-3000 Used Working
      Ampro Computers LB3-48E-Q-01 SBC Single Board Computer PCB KLA CRS-3000 Used
      MRC Materials Research A120466 Power Module Rev. A Eclipse Star TEL Used Working
      Komatsu 2000104C Heat Exchanger KIS-0007-4 Used Tested Not Working As-Is
      RadiSys Corp 859-8150-002B Circuit Board PCB ASML Used Working
      Nikon 4S014-014-? NSR System Control PCB Card DCM86-L2 Used Working
      Therma-Wave 18-007482 Auto-Focus Bi-Cell Detector Optics Rev. B 2600B Used
      Nikon 4S007-437 TTL-IF Control PCB NSR System Used Working
      Hitachi ZVV021 Control Board PCB Card I-900 HK3 TRANDEF I-900SRT Used Working
      SVG Silicon Valley Group 99-80267-01 Rev B Shuttle Interface Board 90S Used
      Hitachi ZVV037 Control Board PCB Card I-900 HK3 MONIT2 I-900SRT Used Working
      Lam Research 810-017031-2 ADIO Board PCB Rev. 2 4420 Etcher Used Working
      Nikon Microscope Turret OPTISTATION 3 Untested As-Is
      SVG Silicon Valley Group 99-80267-01 Rev C Shuttle Interface Board 90S Used
      IDE Integrated Dynamics Engineering 172.100 6 DOF Controller Used Working
      Varian Semiconductor Equipment E11029161 High Voltage Module E1000 Used Working
      SVG Silicon Valley Group 99-54060-01 Manifold With Damper 90S New
      Hitachi 2-829526-03 Electrode Head Cover New
      Nikon 4S008-053 Interface Control Drive Board PCB PRE2 Drive NSR-S204B Used
      ASML 4022.437.1053 2-Channel Processor Board PCB Card Used Working
      Meiden JZ29A-01 Processor Board PCB Card MU24A30756 SU22A31138 B Used Working
      Vetra Systems 12609-185/1000 Operator Interface Panel ECI QLC-5100 Used Working
      ASML 4022.471.7503 HSSL Process Control Board PCB VME Card Used Working
      JEOL MP003648-00 AC PB Relay Power Distribution Board PCB JEM-2010F TEM Used
      ECI Technology TLA-511 Interface Processor Board PCB Card QLC-5001 Used Working
      Entegris 6500-XX-F02-B30-K-P1-U1-M15 NT Integrated Flow Controller New Surplus
      JEOL MP003648-00 DC PB Power Distribution Board PCB JEM-2010F TEM Used Working
       Kokusai Electric D2E01448 Processor Board INT-MB PCB Card Used Working
      TEL Tokyo Electron 2985-410519-W1 12 Inch Pincette PRA 300mm ACT12-300 Used
      Koganei F-AVP125-19W Pneumatic Valve Dual Block Lot of 85 TEL Lithius Used
      Electroglas 251411-002 CPU 020 PCB Card Rev. N 200mm 4085X Horizon Used Working
      MRC Materials Research 884-53-000 Transfer Arm PCB Rev. C Board Eclipse Used
      MRC Materials Research 884-53-000 Transfer Arm PCB Rev. B Board Eclipse Used
      Tencor Instruments 363251 4 Channel Motor Control PCB Card Rev. A AIT I Used
      Electroglas 256303-003 CPU 020 PCB Card Rev. N 200mm 4085X Horizon Used Working
      Lam Research 810-017031-003 ADIO A0 PCB Card Rev. 2 Used Working
      Olympus STM Measuring Microscope BHJM Body with Adjustable Stand Used Working
      Hitachi 2R007097 PCB LSIO S-9380 SEM Used Working
      Lam Research 810-17018 Gap Motor Controller Board PCB Used Working
      Lam Research 810-17031-3 ADIO A0 PCB Card Rev. 2 Used Working
      Mizar 6800-04289-000 CPU PCB 7122 w/ Bus Extender IDS 10000 Used Working
      Carl Zeiss 45 19 04 Axio Microscope Upright Series Optovar Module 451904 Used
      Lam Research 810-017031-004 ADIO A0 PCB Card Rev. C Used Working
      AMAT Applied Materials 0090-91193 Data Aquisition Inverter XR80 Interface Used
      AMAT Applied Materials 0010-24405 Magnetic Source 0040-84886 Used Working
      Schlumberger 97847501 PCB 40847501 REV G IDS 10000 Used Working
      Hitachi 696-6004 Processor PCB Card SHDIO S-9380 SEM Used Working
      US Motors 6592A Motor & Gearbox Series 2000 .5 HP 3 PH 160 Ratio Used Working
      Schlumberger 97861110 PCB REV 6 IDS 10000 Used Working
      Hitachi 2AA31489 SHDIO PCB Card S-9380 SEM Used Working
      Sound Vision SV Micro Microscope CCD Camera Used Working
      Hitachi Heater Transformer Unit M-511E Etch Chamber Block Used Working
      SMC CDQ2B140C-J0406-XC11 Pneumatic Cylinder Wafer Collar Hitachi M-511E Used
      KLA-Tencor 369470 Circuit Board PCB FAB 319457 AIT Used Working
      Omron CSIG-CPU43-V1 CPU Unit Programmable Controller SYSMAC Used Working
       Hitachi ZVV020-2 Processor Board PCB Card I-900 CHPCMP ZVV020 I-900SRT Used
      Koganei 4K179-652-1 Pneumatic Box SP1175W Nikon NSR-S204B Used Working
      Lam Research 810-017031R004 ADIO A0 Processor Board PCB 810-17031-2 Used Working
      TEL Tokyo Electron 3281-000080-12 I/O Board TVB9003-1/316 P-8 Used Working
      GSI Lumonics 000-30011003 Laser Module 000-3008528 KLA-Tencor CRS 2000 Used
      Lam Research 310-170314 ADIO-A0 Control Board PCB Card Used Working
      RIGG Engineering 001052 2214 SDP Video Grabber PCB Nikon NSR-S204B Used Working
      Lam Research 810-17031-004 ADIO-A0 Control Board PCB Card Used Working
      Nikon LDV Tank Assembly NSR-S204B Used Working
      Tencor Instruments 261408 4 Channel Motor Control PCB Card Rev. B Used Working
      Lam Research 810-17031R4 Processor Board PCB Card ADIO-A0 9100 Used Working
      Cognex 200-1019 Visioncard VME Board Tokyo Electron 3281-000124-1 P-8 Used
      Anelva A12-00776-05A Bellows Cylinder 80x60 ST Lot of 4 Anelva 1015 Used Working
      Kokusai Electric D2E01522 Backplane Board INT-CNBA PCB Card Used Working
      Ace Company CS33-333 Showerhead 233-2089-77 New Surplus
      TEL Tokyo Electron 3281-000095-13 PCB PST OPT Card 3208-000095-11 Used Working
      Protech 960560-G4B SBC Single Board Computer PCB Card P5/6x86 SBC Used Working
      Harmonic Drive Systems 9800033901 Servo Drive HA-655–2-200 TEL Lithius Used
      Dynatronix 138-0335-03 Processor Board PCB 190-0335-01 MERLIN SE-USX Used Workin
      A.C.S Electronics SB214PC-E Controller Board PCB Card P.S.-6 NovaScan 840 Used
      Yaskawa Electric SGDM-10ADAY751 Servo Driver SERVOPACK TEL Lithius Used Working
      Gatan 678-17004 GIB Lens Driver LENS 3 PCB Card Rev. 6 JEOL JEM-2010F Used
      Yaskawa Electric JANCD-NCP30 SBC Single Board Computer PCB Card POD-6713 Used
      Tokkyokiki 2-200 Pneumatic Active Damper Set of 4 Used Working
      Gatan 678-17004 GIB Lens Driver LENS 3 PCB Card Rev. 5 JEOL JEM-2010F Used
      Acumen 200-1019 Camera VMEVC PCB Card 10019 TEL 3281-000124-11 P-8 Used Working
      Calibron Systems 1AA0 Density Meter with Spud 60 Density Transmitter New Surplus
      Advanet Advme1522A Fiber Optic Interface VMEbus Card PCB Advme 1522A Used
      MKS Instruments 123714-G1 GBROR InSitu Flow Verifier GBR1B24CR1 Used Working
      ASML 4022.437.1312 Circuit Board PCB Broken Tab Used Working
      Sena Fiber Optic Light System 2450DR MKII 50HTFI LPC50 Zygo ARMI Used Working
      Yaskawa Electric DF9202827-B0 Power Supply PCB Board CLSR-CD-33N2A Used Working
      TEL Tokyo Electron Interface Rollers and Interface Bracket ACT12 Used Working
      CKD AMC-D2-X1 Valve Control PCB AMC-D2 TEl Tokyo Electron Lithius Used Working
      MKS Instruments 123714-G1 GBROR InSitu Flow Verifier Used Working
      VAT B90002011 Pneumatic Gate Valve BGV LOTO Edwards NRY0TN000A New
      Kaijo Denki 6848 Ultrasonic Generator HI MEGASONIC 600 Used Working
      TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working
      RFPP RF Power Products 7520572050 RF Generator LF-5 AMAT 0920-01014 Tested As-Is
      AMAT Applied Materials Slit Valve Gasonics Aura 2000LL Photoresist Asher Used
      Lam Research 853-017435-001-A-1996 4 Pin Lifter Filter 4420 Used Working
      Lam Research 852-011060-503-D-CHMAIN Upper Chamber Gap Housing Assembly As-Is
      Nikon 4S019-582 CRDRV Board RBTDRU(H) PCB Card NSR-S307E Used Working
      Therma-Wave 24258 PCA Backplane ISA PCI Rev. B Opti-Probe 2600B Used Working
      KLA-Tencor 000-3008528 Optical Module CRS 2000 used working
      Tosoh 805-377-SA-H3 Upper Shield AMAT Applied Materials Endura New
      Acopian S11792-2 FIB EOCU DC Power Supply FEI Company CLM-3D Used Working
      Hitachi Heater Transformer Unit Etch Chamber M-712E Trench Etcher Used Working
      Micrion 150-002550 Optics STIG/SHIFT PCB Card 170000554A FEI CLM-3D Used
      KLA-Tencor 0033116-001 Mirror and Lens Assembly 0033233-001 AIT Fusion Used
      Texas Instruments MC-10105 CCD Video Camera Lot of 3 Nikon NSR-S204B Used
      FEI Company 150-002720 Current Sense Amplifier PCB Card CLM-3D Used Working
      Micrion 150-002550 Optics STIG/SHIFT PCB Card 101001084A FEI CLM-3D EDCU Used
      Varian Semiconductor E111095460 Water Temperature/Resistivity Monitor Used
      FEI Company 4035 272 14481 CCM Processor PCB Card CLM-3D 200mm Used Working
      AMAT Applied Materials 0240-63968 STEC Z512 N2 20SCCM 300mm MFC Kit New Surplus
      Micrion 150-002540 CLM Optics Gain Rotation PCB Card 170000553A EDCU FEI Used
      JEOL EM-20180 Microscope SPEC/OBJ APERT Controller Panel Set JEM-2010F Used
      AMAT Applied Materials 4020-00462 Cartridge Filter Reseller Lot of 20 New
      FEI Company 150-002670 CLM Optics Quad Detection PCB Card CLM-3D SEM EDCU Used
      Micrion 150-002540 CLM Optics Gain Rotation PCB Card 101001082A FEI Used Working
      FEI Company 150-002730 Test and Diagnostics PCB Card CLM-3D Used Working
      Hamamatsu Photomultiplier Tube Assembly H6534SEL H6534SELECT Orbot WF 720 Used
      KLA-Tencor 0024496-001 Scan Exhaust Blower Assembly 0033003-002 Used Working
      Balzers BG 542 164 W Control Module PCB Card PC 201 PC201 Used Working
      Orbot 1280087 WFALIGNER RV3 ALIGN 4000079 PCB Card AMAT WF 736 DUO Used Working
      Orbot 710-65601-DD WF HISTOGRAMMER HIST_9 4000164 PCB Card AMAT WF 736 DUO Used
      Orbot Instruments WF710-65803-DD WFTRX TRX_IP PCB Card AMAT WF 720 Used Working
      Orbot Instruments 710-76501-DD WF LDDH DDH PCB Card AMAT WF 720 Used Working
      Orbot Instruments WF29132 WFRECORDER REC_IP PCB Card AMAT WF 720 Used Working
      Edwards QMB500 Vacuum Pump Mechanical Booster Used Untested As-Is
      KLA-Tencor 5107 Optical Iris Assembly GSI Lumonics 000-3008528 Used Working
      Orbot WF29132 WFRECORDER REC_IP 4000074 PCB Card AMAT WF 736 DUO Used Working
      Orbot 710-75041-DD WFLTRX LTRX 4000022 PCB Card AMAT WF 736 DUO Used Working
      Orbot WF29132 WFRECORDER REC_SC 4000072 PCB Card AMAT WF 736 DUO Used Working
      Orbot WF710-65803-DD WFTRX TRX_SC9 4000167 PCB Card AMAT WF 736 DUO Used Working
      Orbot W29132 WFRECORDER REC_SC9 4000166 PCB Card AMAT WF 736 DUO Used Working
      Orbot Instruments 1280085 WFCOMPARATOR RV2 COMP PCB Card AMAT WF 720 Used
      MDX AE Advanced Energy 3152243-002B Channel Select Panel Used Working
      Orbot Instruments 4000172 WF 730-DECISION IDDH 1280114 PCB Card AMAT WF 720 Used
      Orbot Instruments 710-65601-DD WF HISTOGRAMMER HIST PCB Card AMAT WF 720 Used
      Orbot Instruments 710-75011-DD WFMEMORY MEM PCB Card AMAT WF 720 Used Working
      Orbot WF29132 WFRECORDER 4000074 PCB Card AMAT WF 736 DUO Broken Pull Tab Used
      TMC Micro-g Gimbal Piston Isolators Set of 4 AMAT Orbot WF 720 Used Working
      Matrix 1000-0073 Cluster Tool Phase Monitor PCB Board System 10 Style 1104 Used
      Cybor 2-113-002 Photoresist Power Supply Module 512 Used Working
      MDX AE Advanced Energy 3152243-002A Channel Select Panel Used Working
      Orbot 710-75011-DD WFMEMORY MEM 4000020 PCB Card AMAT WF 736 DUO Used Working
      Orbot WF710-65803-DD WFTRX TRX_SC 4000073 PCB Card AMAT WF 736 DUO Used Working
      Orbot WF710-62201-DD WFVISION2 VIS_9 4000165 PCB Card AMAT WF 736 DUO Used
      Orbot Instruments 1280087 WFALIGNER RV3 ALIGN PCB Card AMAT WF 720 Used Working
      Orbot Instruments WF 710-65701-DD WF MASKING PCB Card AMAT WF 720 Used Working
      Cybor 512G Photoresist Power Supply Module 512 Used Working
      Nikon 2S701-009 LED Board NTP-NMC-T PCB Card 2S020-061-1 V1.09 OPTISTATION Used
      Orbot 710-75023-DD WFREGISTOR REG 4000021 PCB Card AMAT WF 736 DUO Used Working
      Orbot WF710-65803-DD WFTRX TRX_IP 4000076 PCB Card AMAT WF 736 DUO Used Working
      Orbot 710-75033-DD WFANALYZER ANA_9 4000140 PCB Card AMAT WF 736 DUO Used
      Orbot WF710-62201-DD WFVISION2 VISION 4000187 PCB Card AMAT WF 736 DUO Used
      Orbot Instruments 710-75033-DD WFANALYZER PCB Card AMAT WF 720 Used Working
      Orbot Instruments 710-75023-DD WFREGISTOR REG PCB Card AMAT WF 720 Used Working
      Orbot Instruments 4000171 WF 730 Masking IMASK 1280115 PCB Card AMAT WF 720 Used
      KLA-Tencor 073-404581-00 PZT Controller PCB Card 710-404582-00 5107 Overlay Used
      Edwards QMB500F Vacuum Pump Mechanical Booster Used Untested As-Is
      TEL Tokyo Electron TEB004-22 GOHUB Comms Module EC80-000184-22 Lithius Used
      Densan DCP-P750/11 CompactPCI Processor PCB Card TEL Tokyo Electron Lithius Used
      NSK 51161-802-001 IRAM X-Axis Robot Base Assembly 5080-192466-11 Lithius Used
      Lam Research 810-017075-003 Gas Panel Interlock PCB Rainbow 4420 Used Working
      TDI Power 144901 Power Distribution PCB T100101400 Used Working
      Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 01 810-17031 Used Working
      Riken Keiki GD-V77D Smart Gas Detector New
      Lam Research 810-800081-013 P2 MB VME PCB 710-800081-013 Used Working
      Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A 810-17031 Used Working
      Lam Research 810-048219-004 Pulse Power Sample & Hold PCB 710-048219-004 New
      Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 1 810-17031-4 Used Working
      Nikon 4S065-417 Power Supply Assembly with 4S065-418 Interface Used Working
      Orion Machinery ETC902-NSC-LP Heat Exchanger PEL THERMO As-Is
      Xycom 70113-001H Memory Board Lam 4420 Used Working
      Lam Research 810-17031-2 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working
      Parker SX6-DRIVE Indexer Drive Compumotor Used Working
      AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm New
      AMAT Applied Materials 0227-05061 Vacuum Roughing Line Matrix Kit New
      Lam Research 853-012550-001-D Wafer Shuttle Assembly Used Working
      Lam Research 853-017160-583-B-LEAN Rear EMO Assembly PCB 810-017003-004 Used
      Lam Research 810-17031-4 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working
      ABB Automation ACH401603035 + B0C00000 AC Drive Used Working
      Lam Research 853-012550-001 Shuttle Assembly 4420 Etcher Used Working
      Nikon 4S019-238 AD-LSO1 Board PCB NSR S307E Used Working
      Nikon 4S018-866 PCB Card PPD3X4 NSR-S204B Step-and-Repeat System Used Working
      Nikon 4S014-178 Liner Pulsemotor Controller Board LDYCNT PCB Card NSR-S306C Used
      Lam Research 853-012550-001-H Shuttle Assembly 4420 Etcher Used Working
      Lam Research 810-17031-3 ADIO-AO Board PCB 4420 Used Working
      Lam Research 853-013610-001-D-C222 4420 Solenoid Tray Assembly Used Working
      VAT Angle Valve 26324-KA11-1001 62034-KA18-1005 26328-KA11-1002 Lot of 7 Used
      AMAT Applied Materials 0242-70282 300mm Vacuum Roughing Line Kit New
      Omron Z4LC-C28 Parallel Beam Line Sensor Used Working
      Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. H Rainbow 4420 Used
      TEL Tokyo Electron 1D81-000098-B4 DIO Control Card TYB112-1/DIO Used Working
      ASML 4022.470.0883 ROB Remote Control Box Nikon Reticle Loader Used Working
      Nikon 4S008-050 Power Amplifier Board MIS-POWAMPX4 PCB Card NSR-S307E Used
      Nikon 4S013-318 Processor Board PCB LDR-I/F KAB11320/3201-0 OPTISTATION 7 Used
      Nikon 4S018-384 Driver Board AFDRVX2 PCB Card NSR-S202A Scanner Used Working
      TEL Tokyo Electron 2985-413414-W4 SHU Shuttle Slider Assembly ACT12-300 Used
      Nikon 4S018-382 Driver Board RSMDRVX2 PCB Card NSR-S202A Used Working
      Nikon 4S018-716 Control Board OPDCTRL3 PCB Card NSR-S307E Used Working
      PULNiX TM-710i High Resolution Monochrome CCD Camera Used Working
      ASML 8540138001 A1 Prime DSX Stepper Used Working
      Nikon 4S018-567-A PCB WLS3EN4 NSR-S204B Used Working
      ASM 75-125051A08 ENCLOSURE-TORUS SERVICE LIQUID LEVEL KIT
      Fujikin FPR-UDDF-71-6.35-2-316LP Pneumatic Diaphragm Valve Reseller Lot of 7 New
      TEL Tokyo Electron 1805-320142-11 Integrated Base Ring
      HP Hewlett-Packard E1401B High Power Mainframe
      Yaskawa Electric CACR-PR01-KA4BU AC Servo Unit SERVOPACK Controller
      Verteq 1600-55M SRD Controller 1071649-1C5MM
      ASML 4022.471.6943 DC/DC Converter Board PCB Card 02 4022.471.69441 Used
      Zygo RTS-500 Controller Sigmameltec Used Working
      Hitachi ZVL900/122 Memory IC PCB Card Used Working
      Bay Pneumatic BES-4235 Circuit Board PCB SVG 90S Used Working
      TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working
      WIENER Plein & Baus 0330.9007B Rack Mount Power Supply Card UEP 15 Used Working
      Yaskawa SGMAS-12ABA-TE11 AC Servo Motor with Nabtesco  GH7-11 Gearhead Used
      TEL Tokyo Electron OYDK-055 ANALOG PT #02 PCB Board Lot of 4 Lithius Used
      TEL Tokyo Electron 3D05-300067-V1 Insulator ESC Enclosure T3.5 New
      NANOmetrics 7300-013555 CE-Chuck Full Contact AMAT 3820-00003 Used Working
      TEL Tokyo Electron 300mm Wafer Shuttle IRAM Interface Block Lithius Used Working
      Edwards D37420000 Local Control Module iTIM E73+A1+T1 Reseller Lot of 10 Used
      Lambda LRS 52M-5 DC Regulated Power Supply Reseller Lot of 5 Used Working
      AMAT Applied Materials 0041-12192 Reflector Plate Used Working
      TEL Tokyo Electron BX80-000063-11 ECC2 Controller MC Rack Card T-3044SS Used
      TEL Tokyo Electron 3D05-300142-V3 Insulator ESC Enclosure COC New
      TEL Tokyo Electron 3D10-250834-V1 CEL Process Plate Used Working
      AMAT Applied Materials 0100-01108 VAL-001-1482-01 Chamber Common INTLK Used
      Hitachi 569-5505 Circuit Board ST Sense Hitachi S-9380 Main Body Used Working
      KLA-Tencor 0024496-000 Scan Exhaust Blower Assembly AIT UV Used Working
      Nikon Z-4B1A-A1901 Power Supply Module NSR-S307E Used Working
      Particle Measurement Systems 659510-100-AA Laser Control Unit FiberVac II Used
      AMAT Applied Materials 0190-07765 DC Source Cable 300mm 76' Lot of 2 New Surplus
      Mitsubishi QJ61BT11N PLC Assembly Base Unit Q35B Q64P QX81 Used Working
      Nikon 4S018-837 ALCP-MTHX3 Control Board PCB Used Working
      Opti-Probe 2600B Data Translation DT2827 I/O Card Rev.AA 05214 Used
      Nikon 4S018-710 LIB-I/F Board PCB NSR-S306C Used Working
      AMAT Applied Materials 0010-26777 300mm Target Gravity Scale Lock Left New
      Nikon 4S018-765 Driver Interface Board PCB IU-DRV4 NSR Series Used Working
      Nikon 4S019-026 IU-DRV2-EX4P Board PCB Used Working
      AX Corporation Test Module P5005 CUF005 A/V005 P/T005 Used Working
      Aerotech ES13713-3 Unidex 100 Multitasking Motion Controller Lot of 2 As-Is
      KLA-Tencor 373664 SP1 Distribution PCB Rev. BB Used Working
      AMAT Applied Materials 9090-00641 Control Module XR80 Implanter Used Working
      AMAT Applied Materials 0021-11486 300mm Shutter Disk Rev. 004 New
      Nikon 4S018-923 IU-PWR1-X4P Power Relay Board PCB NSR Used Working
      Particle Measuring Systems Particle Counter Module 1000003263 Used Working
      Mitsubishi QD75D4 PLC Positioning Unit Used Working
      AMAT Applied Materials 0010-26776 300mm Target Gravity Safe Lock Right New
      Nikon 4S019-059 Control Interface Board PCB IU-CTRL2 NSR Series Used Working
      Tanaka Engineering Works Regulator 31WVU Lot of 8 Used Working
      Nikon 4S019-080 Power Board PCB IU-PWR2-X4P2 PCB NSR Used Working
      Semprex 17-2358.20 Motor Controller Used Working
      Rudolph Technologies 20702A Lock-In Amplifier PCB working
      Omron PLC Module C200H C200H-ID212 C200H-0C22H C200H-NC112 C200H-LK201-V1 Used
      Regal FS-30S Flow Sensor Lot of 8 Used Working
      AMAT Applied Materials 9090-01161 Control Module XR80 Used Working
      KoMiCo CHN 300mm (Cu) Baffle Plate Top Ash Copper Refurbished
      Tencor Instruments 363251 4 Channel Motor Control PCB Card Rev. 0A AIT II Used
      AMAT Applied Materials 0090-00353 Buffer Interlock PCB Card Used Working
      Melec C-820A KP1178-4 Communications PCB Card Hitachi S-9300 CD SEM Used Working
      Lam Research 853-013610-001-D-C222 Solenoid Tray Assembly 4420 Etcher Used
      AMAT Applied Materials 0100-00523 Controller Distribution PCB 0100-76290 Used
      AMAT Applied Materials 0090-00354 Transfer Interlock Card Used Working
      Oerlikon 102104213 End Effector Unaxis 300mm Used Working
      AMAT Applied Materials 0020-52600 300mm Inner Shield Centura New
      AMAT Applied Materials 0021-15610 Shield Clamp Centura Edwards Refurbished
      Oerlikon 102153723 Mask CPL 300mm New
      Optem International HRTS33N3 Metro 300mm Video Coupler New
      Fuji Seiki 1012851 Throttle Valve Assembly Hitachi S-9300 SEM Used Working
      Carpenter Advanced Ceramics IN0440-1001 Clamp Ring SIP 894 0440-1001 New
      Applied Ceramics 300075811 Insulator Ring MRC Eclipse Star New
      AMAT Applied Materials 0040-99997 200MM Pedestal ESC Used Working
      GE FANUC  Genius Hand Held Monitor
      TEL Tokyo Electron ES1D10-100854C15 Baffle Spoke Plate Unity II Refurbished
      Nikon 4S007-778 Interface Control Board PCB BLXXX NSR-S202A Used Working
      VAT 02112-AA44-0001 Rectangular Gate Valve MONOVAT Series 02 Used Working
      SCI Solid Controls 428-409 Smart Board PCB Card 428-408 Used Working
      Nikon 4S007-789 Power Supply Board PCB LIUREG NSR-S204B Used Working
      Nikon Transfer Robot Arm End Effector NSR-S202A Used Working
      ULTRAPOINTE 1010 Power Supply for KLA Laser Imaging System Used Working
      Animatics SM3430-K112 Servo Motor SmartMotor ASM 30-106660A94 New
      Lam Research 715-11535-100-E2 Upper Electrode Ring Used Working
      Zelltec DAL-ZAA-0103 HeNe Laser Control Board PCB Card New Surplus
      VAT 641PM-36PM-0002 Adaptive Pressure Controller PM-5 87881-R1 Used Working
      ASM 16-401650-01 Quartz Step Center Pin Reseller Lot of 14 New Surplus
      SCI Solid Controls 428-4001 Firing Controller PCB Card 428-399 8024-0137 Used
      Nikon 4S013-495 Illusion Unit Backplane Board PCB NSR-S307E Used Working
      Omron C200HW-PA204S Programmable Controller Assembly PLC C200H-MC221 Used
      Focal Technologies Group 101244-05 Mini Electrical Slip Ring MOOG Model 255 
      WJ Watkins-Johnson 906170-001 Optical Sensor Interface PCB Used Working
       SCI Solid Controls 428-409 Smart Board PCB Card VSE 8024-0139 Used Working
      Maxon Motor 135836 DC Motor 4S602-275 Nikon NSR-S205C Used Working
      SCI Solid Controls 428-400 Firing Controller Board PCB Card 428-399 Used Working
      SCI Solid Controls 428-406 System Controller PCB Card 428-405 Used Working
      AMAT Applied Materials 0021-39943 Liner Pump Port IPS Used Working
      Cosense 092-20106 Continuous Liquid Level Sensor ASM 1005-712-01 New 
      GLI DIVISION OF HACH CO. 3726E2T Electrodeless Conductivity Sensor
      AMAT Applied Materials 0020-24804 Cover Ring SST ESC Refurbished
      Ziatech ZT-8902 SBC Single Board Computer PCB Card 486 ZT-8902-S1320 Used
      Faulhaber HEDS-5540 F14 Minimotor HEDS 5540 F14 Nikon NSR-S205C Used Working
      SCI Solid Controls 428-400 Firing Controller Board PCB Card 428-399 Used Working
      SCI Solid Controls 428-4060 System Controller PCB Card VSE 0428-4060 Used
      CTI-Cryogenics 8052300G001 Control Module 8011 Used Working
      ASML 4022.471.6607 AT IM ZZA T1100 RP Board PCB Card 19 4022.471.61591 Used
      ASML 4022.471.6980 Interface Board PCB Card 20 4022.471.69811 Used Working
      ASML 4022.471.7421 Interface Board PCB Card 17 4022.471.74221 Used Working
      ASML 4022.471.7158 Interface Board PCB Card 23 4022.471.71601 Used Working
      ASML 4022.471.63192 Indicator Processor VME PCB Card Used Working
      ASML 4022.471.6341 AT IM ISB2-3 RP Board PCB Card 09 4022.471.62721 Used
      ASML 4022.471.6276 AT IM MC1 RP Board PCB Card 11 4022.471.62751 Used Working
      ASML 4022.471.6678 Interface Board PCB Card 17 4022.471.66791 Used Working
      ASML 4022.471.7420 Interface Board PCB Card 16 4022.471.74221 Used Working
      ASML 4022.471.7852 Interface Board PCB Card 18 4022.471.78531 Used Working
      ASML 4022.471.6700 Interface Board PCB Card 15 4022.471.66981 Used Working
      ASML 4022.471.6707 Interface Board PCB Card 01 4022.471.67081 Used Working
      ASML 4022.471.8128 DC-DC-Converter VME PCB Card Used Working
      ASML 4022.471.7155 Interface Board PCB Card 19 4022.471.71601 Used Working
      ASML 4022.471.6279 AT IM MC2 RP Board PCB Card 12 4022.471.62781 Used Working
      ASML 4022.471.7152 Interface Board PCB Card 28 4022.471.71541 Used Working
      ASML 4022.471.7159 Interface Board PCB Card 25 4022.471.71601 Used Working
      ASML 4022.471.7153 Interface Board PCB Card 25 4022.471.71541 Used Working
      ASML 4022.471.6282 AT IM MC3 RP Board PCB Card 13 4022.471.62811 Used Working
      ASML 4022.471.6702 Interface Board PCB Card 16 4022.471.66981 Used Working
      ASML 4022.471.6285 AT IM MHB ACT RP Board PCB Card 10 4022.471.62841 Used
      ASML 4022.471.7156 Interface Board PCB Card 20 4022.471.71601 Used Working
      ASML 4022.471.7157 Interface Board PCB Card 22 4022.471.71601 Used Working
      ASML 4022.471.6782 AT IM SHUT/VA RP Board PCB Card 03 4022.471.62591 Used
      ASML 4022.471.6292 AT IM REM RES. RP Board PCB Card 05 4022.471.62911 Used
      ASML 4022.471.6697 Interface Board PCB Card 14 4022.471.66981 Used Working
      ASML 4022.471.7726 DC/DC Converter Board PCB Card 4022.471.77311 Used Working
      ASML 4022.471.5027 VME Timing Control TCB Syncbus Trigg PCB Card Used Working
      VAT 09034-KE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002011 New
      Shinko SBX08-000005-11 PCB Circuit Board LVDT SBX93-100002-C1 TEL T-3044SS Used 
      IDI 201D Integrated Dispense System IDS Controller No Cards Used
      Ultratech Stepper 01-18-03903 Power Supply Stage Driver Used Working
      AMAT Applied Materials EPDU Power Distribution Box SemVision cX Used
      VAT 09034-KE44-AB01 Pneumatic Gate Valve BGV Loto Edwards B90002011 Opened New
      PI E-501.00X PTZ Servo Controller E-501.00 LVPZT-Amplifier Nikon NSR-Series Used
      Gurley Precision 25/045-NB16-IA-PPA-VAR1E-AAX Motor and Encoder Set Refurbished
      Perkin-Elmer A1206 ADC/ELPS Interface PCB Card ASML 859-8218-002 Used Working
      Kokusai Electric 300mm Lamp Heater Zestone DD-1203V Used Working
      SVG Silicon Valley Group 859-5194-003 PCB Assembly 859-0743-011 Used Working
      Pearl Kogyo APU500 Vpp RF Monitor Unit Hitachi M-712E Etcher Untested As-Is
      Inficon 923-601-G4 Transpector CPM Head CPM100 Used Working
      Yaskawa XU-DL2110 Controller Nikon 4S064-521-2 NSR-S307E Used Working
      Kokusai CX1229-2 Controller Module Rev A Kokusai Vertron used working
      Wonik Quartz International QI-22105 Quartz Window 200mm Used Working
      AMAT Applied Materials 0010-47716 Target Gravity Safe Lock RF PVD New
      KoKokusai CX1229-2 Controller Module Kokusai Vertron Used Working
      AMAT Applied Materials 9010-00561 Source Topcan Assy Fast Tetrode New
      Zendex ZX 564 ZBX Mother Board PCB Assembly ASML 859-8147-001 851-8833-001 Used
      Novellus Systems 15-173604-00 Gamma Base Diffuser Rev. B New
      Pearl Kogyo ZDK-916L2C-P Tuner Controller Hitachi M-712E Etcher Used Working
      TEL Tokyo Electron Tube Holder Assembly ACT12 200mm Used Working
      Nikon Pressure Sensor Assembly NSR-S307E Used Working
      TEL Tokyo Electron 2985-449464-W3 SHU Shuttle Slider Assembly ACT12 200mm Used
      Hine Design CV-252E CCD Video Camera Module HineSight 2020 Used Working
      Semitool 885751-007 Display Monitor Module Nikon NSR-S307E Used Working
      Opal 50312560000 CCS PCB Board AMAT Applied Materials SEMVision cX Used
      Rudolf A18079-C A/D Converter Analog Digital Board PCB Card Used Working
      Opal 50312450000 UI Distribution Control Assembly AMAT SEMVision cX Used Working
      Orion Machinery ETC902-NSCP-L2 Heat Exchanger PEL THERMO As-Is
      Nikon 4S007-902-1 X2A-STGA/D Board PCB AD676JD Used Working
      Phillips 47163636 Circuit Board PCB 2071650059 TBP Used working
      Nikon BM06607AB Encoder Assembly Used Working
      Pureron Flow Meter DFM2-1000 Lot of 4 Used Working
      VAT Angle Isolation Valve 62034-KA18-1005 26334-KA11-1001 Lot of 4 Used Working
      AMAT Applied Materials 0021-19152 Particle Ring New Surplus
      Nikon 4S018-650 Interface Board PCB PLD1039 NSR Used Working
      Phillips 50227274 Circuit Board PCB 2071610007 TBP Used Working
      KLA-Tencor 000056 Lens Filter Assembly Rev. A 347949  000057 Used Working
            Integrated Measurement Systems 100-0091-001 Interface PCB lot of 2 working
      Phillips 471 45632 Circuit Board PCB 2069020064 Used Working
      KLA-Tencor 347795 Lens Filter Assembly 347930 Used Working
      AMAT Applied Materials 0010-08061 300mm Preclean Chamber Viewport Assembly New
      Nikon 4S080-627 LC-PRES2B Board PCB CD-154S60 NSR Used Working
      Diavac Limited LCAV-25H Pneumatic Angle Valve Reseller Lot of 10 Used Working
      AMAT Applied Materials 0010-07476 300mm Clear PVD Chamber Lid New
      AMAT Applied Materials 0100-20458 PVD/IMP Chamber Interlock 0100-00574 Used
      Hitachi 569-5504 Stage Control Panel STPANEL Hitachi S-9300 CD SEM Used Working
      KLA-Tencor 0110157-000 CIP XP Column Extension Assembly Used Working
      SVG Silicon Valley Group 102077-01 Door Closed Switch PCB Assembly Refurbished
      Brooks Automation 001-3710-03 26VDC Power Supply 13710-03 Cracked Display Used
      Lam Research 02-169180-02 Linear Track 15-265469-02 Copper Exposed No Motor Used
      Delta DC Power Inc. S27505CTX1 Power Supply 1375W Used Working
      Optimation GCM1010 VMB CPU Board PCB ASM 5267917-001 Used Working
      Brooks Automation 001-3710-03 Power Supply 13710-03 26VDC Used Working
      Metron 5500000 SWC Single Wafer Carrier 300mm Reseller Lot of 26 New
      Brooks Automation 13710-03 Power Supply 26VDC Used Working
      Heraeus 90150415 HTU Vacuum Anneal Plasma Tube New
      Brooks Automation 001-3710-03 26VDC Power Supply 13710-03 Rev. J Used Working
      AMAT Applied Materials 0100-90851 H.V/A.MAG Motherboard PCB 0100-90015 Used
      AMAT Applied Materials 0010-13967 OFT Centerfinder Receiver 300mm Centura Used
      AMAT Applied Materials 0100-90941 H.V/A.MAG Motherboard PCB 0100-90015 Used
      AMAT Applied Materials 0010-76968 OFT Centerfinder Emitter 300mm Centura Used
      Sti OF4172B-2 OptoFence 72" Light Curtain Receiver Transmitter Set Used Working
      Kondoh 2980-091564-11 FFU Fan Filter Unit EIC-Y93P280 2T-450900FU-STG11 Used
      Hitachi UO1200PMCX-DS1CEI(SL) Ultrasonic Generator Mikro Sonic Used Tested
      Opal 13811 Power Relay Board PCB Card 12488 12489 AMAT SEMVision Used Working
      PRI Automation BM2246L04 Horizontal Transfer Frame Missing Parts Used As-Is
      TEL Tokyo Electron MPC-T0070A-14 PCB I/O MTR #04A TOB1106A T-3044SS Used Working
      TEL Tokyo Electron 3D81-000004-15 PCB Circuit Board TYB622-1/GAS2 T-3044SS Used
      Varian Semiconductor Equipment E11042873 150mm 6pt S-I Clamp Ring New
      Nidek S1145-PC2277A IM-14 Wafer Loader PCB Board IM-11 MAIN/11 Used
      TEL Tokyo Electron 200mm Wafer Transport Stepper Interface ACT12 Used Working
      TEL Tokyo Electron E244-000048-11 PCB E2B302/NUEC E281-000042-11 Lithius Used
      Lam Research 852-017750-001 Remote Used Untested As-Is
      TEL Tokyo Electron TKB7240 PCB Circuit Board IO SPIN-G #01 T-3044SS Used
      AMAT Applied Materials 0010-09063 2-Axis Susceptor Calibration Display Box New
      Millipore RGEN-01 Photoresist Pump RDS TEL Tokyo Electron CT2911-000003R14 Used
      Kokusai CX3010B Touch Screen Industrial PC Computer Used Working
      Sanyo Denki RS1S05AA SanMotion AC Servo System RS1S05AA0HF08P1L T-3044SS Used
      Asyst Technologies 9700-5820-01 Control Box CMS II 100-240 VAC 50/60Hz 8A Used
      Cybor 512H Photoresist Power Supply Module Model 512 Used Working
      TEL Tokyo Electron A116006 200mm Black Plane Heater Used Working
      Nikon 4S008-278 Circuit Board PCB BSA-PZT NSR-Series Used Working
      Blue Wave Systems DV44-50 PCB VME Card ASML 4022.471.6181 Used Working
      MRC Materials Research 022469 Asyst Gas PLC Controller Used Working
      ASML 854-8301-006C Circuit Board PCB 851-8240-007K 854-8302-003B Used Working
      ASML 859-8218-002Q Circuit Board PCB ADC/ELPS Interface A1206 Used Working
      ASML 859-0743-017C Circuit Board PCB 858-8040-012A 851-8240-007K Used Working
      ASML 859-0741-006A Circuit Board DSP Motherboard PCB 851-8240-007K Used Working
      ASML 859-8218-002F Circuit Board PCB A1206 ADC/ELPS Interface Used Working
      ASML 859-8379-001-02 Circuit Board PCB SBX/STD BUS 851-8554-003E Used Working
      ASML 4022.437.0576 Image Sensor Board Used Working
      Electroglas 251411-002 CPU 020 PCB Card Rev. D Used Working
      ASML 4022.471.5284 Circuit Board PCB Used Working
      Agilent Technologies Z4207C Number Cruncher PCB Card ASML 4022.470.81312 Used
      ASML 4022.471.4042 Circuit Board PCB Used Working
      ASML 879-8073-002 A5875 Cap Gauge ASP Assembly Circuit Board PCB Used Working
      ASML 859-0741-006B Circuit Board DSP Mother Board 851-8240-007K Used Working
      ASML 859-0927-008F Circuit Board PCB 859-0904-006C Used Working
      ASML 859-0942-004G Circuit Board PCB 851-8240-007G Used Working
      RadiSys 879-8103-001A Circuit Board PCB ASML Used Working
      ASML 4022.471.6109 PCB Broken PCB Tab Used Working
      Electroglas 251411-002 CPU 020 PCB Card Rev. F Used Working
      TEL Tokyo Electron 3D80-00161-V1 ECC2 Controller MC Rack T-3044SS Used Working
      TEL Tokyo Electron 3D81-000037-V2 Circuit Board TYB62D-1/PS2 T-3044SS Used
      Agilent N1224-60003 Z4382A Combiner Interface PCB ASML 4022.470.78501 Used
      ASML 859-0927-009A Circuit Board PCB MAJN032 859-0904-007A Used Working
      ASML 851-8226-008B Circuit Board PCB 4 Axis Counter A1212 Used Working
      ASML 859-8147-001E Circuit Board PCB 851-8833-001A 859-0529-004J Used Working
      ASML 854-8301-006A Circuit Board PCB 854-8302-003A 851-8240-007H Used Working
      ASML 859-0927-008-G Circuit Board PCB 859-0904-006C Used Working
      ASML 859-0741-004-G Circuit Board PCB 851-8240-007F Used Working
      CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working
      Electroglas 247225-001 XFR Arm Subsystem PCB Card Rev. J/T Used Working
      Lam Research 853-012550-001-H-LEAN Wafer Shuttle Assembly Used Working
      AMAT Applied Materials 0010-47714 Target Gravity Safe Lock RF PVD New
      TEL Tokyo Electron E280-000016-32 Circuit Board PCB E281-000016-32 T-3044SS Used
      ASML 4022.436.7114 Circuit Board PCB Used Working
      Acromag AVME9675-2 Circuit Board PCB ASML Used Working
      ASML 4022.471.4684 Circuit Board PCB Used Working
      ASML 859-8379-001B Circuit Board PCB 851-8554-003F SBX/STD BUS Used Working
      ASML 851-8226-008A Circuit Board PCB 4 Axis Counter A1212 Used Working
      ASML 859-0743-017A Circuit Board PCB 858-8040-012A 851-8240-007K Used Working
      ASML 859-0743-010A Circuit Board PCB 858-8040-007D 851-8240-007F Used Working
      ASML 851-8226-008A Circuit Board PCB A1212 4 Axis Counter Used Working
      ASML 859-8147-001B Circuit Board PCB 859-0529-004A 851-8833-001A Used Working
      Electroglas 251411-002 CPU 020 PCB Card Rev. J/R Used Working
      Hitachi 560-5521 NIP Control Board PCB S-9300 Ion Pump Used Working
      TEL Tokyo Electron F-T100-2 Resist Pump ACT12 and Lithius Used Working
      Hitachi 545-5516 Power Supply PCB DC PS2 S-9300 Used Working
      Hitachi 545-5592 PCB Card V.MEM(T) S-9300 Used Working
      Cybor 512F Photoresist Power Supply Module Used Working
      TEL Tokyo Electron 3281-000129-11 PCB PST OPT Card 3208-000095-11 Used Working
      KLA-Tencor 0080410-000-AE Motor Assembly 0080420-000-AD 417-11-06 Used Working
      TEL Tokyo Electron 3281-000080-11 I/O PCB Card TVB9003-1/316 P-8 Used Working
      TEL Tokyo Electron 3281-000146-12 PCB PST-STD Board Card 3208-000146-11 P-8 Used
      Schumacher 1442-0002A Temperature Controller TCU100 TLC Used Working
      Acumen 1019 Vision Card VMEVC PCB 10019 TEL Tokyo Electron P-8 Used Working
      CKD N3S010 Solenoid Valve Manifold N4S0-T50 0.2-0.7MPa 12VDC Lot of 18 Used
      TEL Tokyo Electron 1D05-300185-W1 ES Ring Insulator A7HF0.5 New
      AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. A Refurbished
      Varian E11318970 Dual PFG Cage.MAX Y-TILT Upgrade Kit New Surplus
      AMAT Applied Materials 0020-34017 MXP Polyimide ESC 0010-30724 0090-09299 Used
      TEL Tokyo Electron 2981-600373-13 CUI Board PCB Card Used Working
      Kokusai Electric D2E01139 PCB MDRV/ AO Used Working
      Nikon 4S018-169-F Interface Card PCB ALG-INF NSR-S202A Used Working
      AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. P2 Refurbished
      LTD Materials 10830-000 8" Quartz Step Cover Ring DLIFT 2 Notch New
      Nikon 4S007-684 Power Supply Board PCB ND-PWMBRD NSR-S202A Used Working
      Kokusai Electric D1E01224 KBC CPU-7 A/0 PBC Card Used Working
      Novellus Systems 2-288189-00 300mm Lift Pin Actuator Assembly Used Working
      Newport New Focus 9091 Single Mode Fiber Positioner Used Working 
      Air Products 839-607349C Pneumatic Air Controller ChemGuard New Surplus
      Leutron Vision 11141 PicPort Camera Link Grabber PCB Card PP-X-CL-S/64/PoCL Used
      AMAT Materials 0040-99951 200mm Polyimide Pedestal 0020-34017 Used Working
      Nikon 4S007-531-D Power Amp Board PCB MIS-POWAMP NSR-S202A Used Working
      Kokusai Electric D1E01242 Backplane Board PCB CX02BB Used Working
      Yaskawa Electric JANCD-NCP30B-E SBC Single Board Computer PCB Card NXC100 Used
      Nikon PS8-102G Pneumatic Block NSR-S204B Used Working
      Nikon 4S013-423 Interface Board PCB FAN-I/F NSR-S307E Used Working
      CTI-Cryogenics 08105449 A Network Terminal Motherboard PCB 502-082 Helix Used
      Nikon 4S001-070 Power Supply Card PCB PW-NE NSR-S202A Used Working
      Delta Design 1661333-502 68000 Master Board PCB 1661332-401 Used Working
      Balzers TPG 252 A Vacuum DualGauge TPG-252A TPG-252-A Used Tested Working
      Total Control 0980011-01 Teach Pendant Operator Interface Used Working
      Nikon 4S018-982 Interface Processor Board PCB RA-TYUKEI-ETTR-1+ NSR-S307E Used
      Verteq 1600-55A SRD Spin Rinse Dryer Controller Panel Used Working
      E2M1.5 Edwards A371-03-902 Two Stage Rotary Vane Vacuum Pump Used Tested Working
      Nikon KSN CS013-025-CHBR-IF Chamber Interface Board PCB PRT M-050 NSR Used
      Leeson C42D17FK1C Direct Current Permanent Magnet Motor Reducer W6215034 Used
      DNS Dainippon Screen CEMB-0015 Main Power Distribution Module SC-W60A-AV Used
      TEL Tokyo Electron 3D86-000513-V2 Drive Assembly DBDP74AB363BEBA30 T-3044SS Used
      Nor-Cal Spectra 960305-1 RGA Residual Gas Analyzer HPQ 2 AMAT Quantum X Used
      KLA Instruments 710-650094-20 KLA VAC PCB Card 073-650093-00 2132 Used Working
      Opal 30612460000 CAPU CAP PS Unit PCB Card AMAT Applied Materials VeraSEM Used
      SVG Silicon Vally Group 879-8074-002-B Cap Gauge Assembly Used Working 
      AMAT Applied Materials PDU Power Distribution Unit SemVision cX Used Working
      Anorad B-800157 Axis Encoder PCB Card AMAT Applied Materials VeraSEM Used
      Opal 30612470000 CPSU Column PS Unit PCB Card AMAT VeraSEM Used Working
      TEL Tokyo Electron 3D81-000101-V1 Circuit Board PCB TYB61B-1/GAS1 T-3044SS Used
      Opal 30612480000 CDM Monitoring Unit Card AMAT Applied Materials VeraSEM Used
      SVG Silicon Valley Group 859-8210-003 Drive Assembly ASML Used Working
      Telemecanique 65032302-011 Servo Motor BSH0703P02A2A Schneider Used Working
      Air Products 809-4703836044 Gas Cabinet NP Pigtail GG500 PGTL DP New
      Elgar 5691286-13 Programmable DC Power Supply AT800B Used Working
      Cogenex 200-0028 VM-14 Vision Board PCB VPM4104-01 Used Working
      TEL Tokyo Electron EC80-000117-32 PCB Card TEB108-12/SIO Used Working
      AMAT Applied Materials 0010-25341 CPI-VMO Chamber 1 Used for Parts Used As-Is
      TEL Tokyo Electron 3D81-000036-V2 Circuit Board TYB61E-1/PS1 T-3044SS Used
      TEL Tokyo Electron 3D81-000018-V2 Circuit Board PCB TYB512-1/IOMT T-3044SS Used
      TEL Tokyo Electron 3D81-000030-V2 Circuit Board TYB61B-1/GAS1 T-3044SS Used
      TEL Tokyo Electron 3D81-000067-V1 Circuit Board TEL T-3044SS Etcher Used Working
      TEL Tokyo Electron 3D81-000030-V2 Circuit Board TYB61B-1/GAS1 T-3044SS Used
      KLA-Tencor CRS1010 Optical Assembly GSI Lumonics 000-3008528 Used Working
      Nikon 4S017-891-A AWLMTH-4 Interconnect Motherboard Used Working
      Therma-Wave 18-009253 Opti-Probe 2600B Optics Lens Assembly Rev. B Used Working
      Kokusai D1E01300B Control Board SIOB/A2 Used Working
      AMAT Applied Materials 0100-90533 Beamline Vacuum Control Panel PCB Used Working
      Kokusai VDF Vertron Controller CX1307 Used Working
      Kokusai Vertron Control Board DIOA DIE01281 Used Working
      MRC Materials Research 884-53-000 Transfer Arm PCB Rev. E Board Eclipse Used 
      Kokusai Vertron SCOM1A A/2 Com PCB D1E01221B working
      KLA-Tencor SP1 Power Supply Module 0002063-000 Used Working
      Kokusai Vertron Driver Board PLMDRV4/A0 D1E01296 Used Working
      MRC Materials Research A115105 KBD Remote Assembly Eclipse Star Used Working
       Fanuc A06B-6089-H101 AC Servo Unit Amplifier B-65192 Alpha Series Used Working
      Nikon 4S013-481 Control Board PCB STGX41B Used Working
      KLA-Tencor Mirror Stage Assembly GPIO Laser Optics AIT I Surfscan Used Working
      KLA-Tencor 200umx9mm Slit Lens Assembly Laser Optics GPIO AIT I Surfscan Used
      Asyst Technologies 9700-5819-01 FFU Fan Filter Unit Controller New Surplus
      Turbo-V 550 ICE Varian 9699078S002 Turbomolecular Pump Turbo TV 550 Tested As-Is
      Nikon 4S007-664 Relay Sensor Board PCB FIAAF-SENSOR-D NSR-S205C Used Working
      MKS 146C-OOOOO-1 Vacuum Gauge Measurement & Control System Used Tested Working
      KLA-Tencor Chirp Lens Focus Assembly GPIO Laser Optics AIT I Surfscan Used
      Chiba Precision MAN-D34R10B 2nd Group Relay Servo Motor NSR-S205C Used Working
      Therma-Wave 14-004420 Tracker Interface PCB Card Rev. B1 Used Working
      KNIEL 314-054-0402 Power Supply PCB Card CP 27.15/MKN 4022.436.01191 Used 
      Nemic Lambda LWT50H-5FF Power Supply Board PCB SCB103B Used Working
      TEL Tokyo Electron OYDK-101 IO Chem #02A Board PCB M1 Used Working
      Yaskawa Electric UTOPI-020MX Minertia Motor QM Series Used Working
      Accutron Systems TS-366a Microprocessor Temperature Controller Panel Used
      Dolan-Jenner A-240 Illuminator System Fiber-Lite A-240L KLA-Tencor AIT I Used
      Eurotech V214A SBC Single Board Computer PCB VIPER Used Working
      MKS 146C-ACBOO-1 Vacuum Gauge Measurement & Control System Used Tested Working
      Chiba Precision D34R10B Servo Motor Used Working
      Meiden 2001-513AB SBC Single Board Computer PCB IZ53Z ADP-513-04 YY14Z Used
      SVG Silicon Valley Group 99-38154-01 Z Motion Transfer Robot 9002 90S DUV Used
      Meiden 2001-513AA SBC Single Board Computer PCB IZ53Z ADP-513-04 YY14Z Used
      Oriental Motor 5RK60GU-CWT Reversible Motor Gear Head 5GU18KA Used Working
      Meiden 2001-513AD SBC Single Board Computer PCB IZ53Z ADP-513-04 YY14Z Used
      VEM Motors Thurm KU1R 132 SX 2T DSD NSD TPM145 AC Drive Motor Used Working
      Kokusai CX3010 Touch Screen Industrial PC Computer Used Working
      Nikon 2S701-009 LED Board NTP-NMC-T PCB Card 2S020-061-1 V1.08 OPTISTATION Used
      Meiden 2001-513BE SBC Single Board Computer PCB IZ53Z ADP-513-04 YY14Z Used
      AMAT Applied Materials 0020-84586 300 TxZ Slit Valve Lid Used Working
      KLA-Tencor CRS-3000 Optical Assembly GSI Lumonics 000-3008528 Used Working
      Rigg Engineering 001052 2214 SDP Video Grabber PCB KLA-Tencor CRS-3000 Used
      Seiko Seiki DC Power Source Unit EAK-24-OR7 Used Working
      Amray 91219C HI-REL Version PCB 800-2480 Used Working
      TEL Tokyo Electron 2610-100723-V1 Exhaust Ring PM Y BEL Used Working
      ASML 859-0741-004E Circuit Board PCB 859-5194-003 Used Untested As-Is
      TEL Tokyo Electron 2310-100726-V2 Depo Shield PM Upper Y-2 Used Working
      Therma-Wave 18-007482 Auto-Focus Bi-Cell Detector Rev. C1 Opti-Probe 2600B Used
      ASML 859-0743-018E Circuit Board PCB 879-7120-003 Used Untested As-Is
      Amray 90952D Programmable Scan Generator PCB 800-1421D Used Working
      ASML 859-0927-009A Circuit Board PCB MAJN033 Used Untested As-Is
      ASML 859-8212-002 E PCB A1206 ADC/ELPS Interface Used Untested As-Is
      ASML 859-0741-003 Circuit Board PCB 851-8240-007 Used Untested As-Is
      Amray 92102-01-1 High Speed Deflection Power Amplifiers Used Working
      TEL Tokyo Electron B32610-100722-V1 Cover PM Holder Y BEL Used Working 
      ASML 854-8301-006C Circuit Board PCB MAMM010 Used Untested As-Is
      ACL D13450 Microwave Control Module Rev. 5 Used Working
      Keyence LX2-70 Laser Thrubeam Photoelectric Sensor Lot of 6 Used Working
      Lam Research 853-013610-501-A-LEAN Solenoid Tray Assembly 4420 Used Working
      AMAT Applied Materials 0270-00877 300mm Endura Lifting Tool New
      Schlumberger Technologies 40151223 SSC PCB Board 97151223 Used Working
      TEL Tokyo Electron Unity 2 Left / Right 200mm cassette Loader Set
      Lam Research 853-013610-001-D Solenoid Tray Assembly 810-17004-001 4420 Used
      AMAT Applied Materials 0040-13509 300mm Preclean 21 OD Belljar Used Working
      Panasonic MSD021V AC Servo Driver Used Working
      ASML 4022.471.5200 SHB Limms Safety Unit PCB Card Used Working
      Lam Research 70110-003 PCB Card 71110B1-001 Rainbow 4420 Used Working
      Lam Research 853-017160-002-F-1273 Rear EMO Panel Assembly 4420 Used Working
      Hitachi STLDBCAL2 499000362 Aux Load Board PCB 799080017 799000362 Used
      Inficon H200M Transpector Residual Gas Analyzer Untested As-Is
      AMAT Applied Materials 0100-90970 Beam Profiler PCB Card Issue B Used Working
      TEL Tokyo Electron SVME/AT-BCN/A PCB Board SVA603A-I-S Unity II Used Working
      Lam Research 853-012550-001-F-C222 Wafer Shuttle Assembly Rainbow 4420 Used
      AMAT Applied Materials 0100-90025 24V 1A Power Supply PCB Card Issue 2 Used
      AMAT Applied Materials 0100-00828 Rev A Vibration Card XR80 Used Working
      Yaskawa JAMSC-B1071 Register Input lot of 5 working
      ASML 4022.436.1609 Power Supply Interface PCB Card Used Working
      TEL Tokyo Electron TEB405-1/FD2 PCB Card EC81-000007-11 Unity II Used Working
      Tencor Instruments 363251 4 Channel Motor Control PCB Card Rev. AC AIT 2 Used
      TEL Tokyo Electron 1D81-000118 Control Board TYB417-1/OPE Unity II Used Working
      Hitachi I-900SRT Mirror Assembly Used Working
      TEL Tokyo Electron 3281-000014-16 Board PCB Card TVB3102-1/SIO P-8 Used Working
      TEL Tokyo Electron 3281-001184-17 PCB Card TVB6004-1/QMC3 P-8 Used Working
      Hitachi 571-7701 IMGIF Processor PCB Card I-900SRT Used Working
      Dolan-Jenner A241P6TC42 Illuminator A-241L A-241P 150W Fiber-Lite Used Working
      KLA Instruments 710-806050-01 Video Board TEL P-8 Prober Used Working
      VAT 02112-BA24-0001 Rectangular Gate Valve MONOVAT Series 02 Used Working
      KLA Instruments 710-806051-01 Video Board TEL P-8 Prober Used Working
      Hitachi T7230034307 Microscope Objective I-900SRT Used Working
      Hitachi 571-7703 CLKIF Processor PCB Card I-900SRT Used Working
      Vicor MP5-76563 Power Supply MegaPAC AMAT 1140-00384 New
      AE Advanced Energy 3152189-000H RF Match LM-1.25K Used Tested Working
      Leda-Mass Spectra Vacscan 100 DUAL X100 Residual Gas Analyzer RGA LM6 LM62 Used
      VAT 641PM-16PM-0002 Adaptive Pressure Controller PM-5 64PM.3C.00 Used Working
      TEL Tokyo Electron CPC-T0001A-13 Chemical I/O PCB Board T0B1001 ACT12 Used
      Omron R88M-W05030T AC Servo Motor VRSF-PB-5B-50 Used Working
      Novellus Systems 97-4038 Display Panel Kit Planar 996-0237-05 New Surplus
      SVG Silicon Valley Group 99-80333-01 End Station CPU 03-80333-01 PCB 90S Used
      Inficon C100F Quadrupole RGA Sensor Probe Transpector Head Refurbished
      Nikon 4S019-012 Circuit Board PCB LM-PWM-DRV NSR-S307E Used Working
      KLA-Tencor 073-404423-00 I/O PCB Card 710-404420-00 5107 Overlay Used Working
      Hitachi Microwave Auto Tuner Box Daihen CMC-10 M-712E Trench Etcher Used
      Hitachi PDM-100 Power Supply Control Assembly EWS300-5 S-9300 CD SEM Used
      Hitachi MMPC-03 Processor PCB Card S-9300 Used Working
      TEL Tokyo Electron OYDK-057 CONN Analog #02 Circuit Board Used Working
      Iwaki HPT-106-2 Tubephragm Pump Body HPT-106 TEL Tokyo Electron Unity II Used
      Hitachi 568-5521 ST.CNT. PCB Stage Controller S-9300 Used Working
      KLA-Tencor 0025040-000 Pneumatic Elevator Lift Assembly Used Working
      KLA-Tencor 0025040-000 Pneumatic Elevator Lift Assembly Rev. AA Used Working
      TEL Tokyo Electron 1D81-000130 PCB Card TYB416-1/PUMP Unity II Used Working
      AMAT Applied Materials ATM-20 Dual Laser Operator Terminal Endura Centura As-Is
      Lam Research 810-17031-R4 ADIO-AO Control Board PCB Rev. 1 Rainbow 4420 Used
      Pisco DMM-300 Fiber Film Type Drier Lot of 7 Used Working
      Lam Research 810-17018-002 Gap Motor Controller BD PCB Rev. G Rainbow 4420 Used
      AMAT Applied Materials 9090-00668 Scan Optimization Module XR80 Used Working
      Lam Research 810-17031-2 ADIO-A0 Control Board PCB Rainbow 4420 Used Working
      Dynatronix Processor Board 138-0280-04 Used Working
      Norgren Regulator Valve R22-405-RFNA New
      KLA-Tencor 303737 Motor Interface Board HRP-340 Used Working
      AMAT Applied Materials 0100-90027 Data Acquisition Inverter Card XR80 Used
      Oxford Instruments 91-00014-006 M600 Helium Compressor Used Working
      AMAT Applied Materials 0200-39141 FOCUS RING Used Working
      Materion Microelectronics ZTH07212 Cr Chromium Target for Cymetra New Surplus
      Materion Microelectronics ZTH08197 Bonded Ti Target for Cymetra New Surplus
      Saia-Burgess PCD7.D202 Text Terminal Display Module New Surplus
      AMAT Applied Materials 0021-20838 Pedestal LG OD Preclean 300mm Used Working
      Hitachi EC Auto Tuner Assembly Daihen CMC-10 M-511E Etching System Used Working
      MCSI 68800901 CPU PCB Brooks BL 200 Used Working
      Electroglas 251411-002 CPU 020 PCB Card Rev. J 200mm 4085X Horizon Used Working
      AMAT Applied Materials 0910-00285 Anneal Interlock PCB Card Used Working
      KLA-Tencor 0033116-002 Lens Alignment Assembly 0033233-001 AIT UV Used
      Hitachi Ion Pump Power Supply with Backup Battery S-9300 CD SEM Damaged As-Is
      SVG Silicon Valley Group 851-9075-007 Wafer Handling Robot Rev. B Untested As-Is
      Hitachi STAGE CONT UNIT Power Supply Relay Assembly S-9300 CD SEM No PCB Used
      Donaldson P514718 Chemical Line Filter New
      TEL Tokyo Electron 1B80-002391-11 PCB Assembly 1B80-002389-11 TEL PR300Z Used
      TEL Tokyo Electron Regulator Cart Assembly Used Working
      Hitachi 549-5501 Circuit Board PCB SIO/DIST S-9380 Used Working
      AMAT Applied Materials 0020-80664 Electrode 0190-90758 0020-80667 Used Working
      Accurate Gas Control Systems AGT354D-1 Chiller High Temp Alarm Fault Used As-Is 
      AMAT Applied Materials 0200-00155 Quartz Cathode Insulator 200mm New Surplus
      TEL Tokyo Electron 103-4507-704 P-8 Wafer Prober Dual Arm Robot Assembly Used
      AMAT Applied Materials 0200-33882 Insulating Washing R2 New
      AMAT Applied Materials 0100-90025 24V 1A Power Supply PCB Card Issue Y XR80 Used
      Cutler-Hammer EHD3020L Series C Circuit Breaker 6638C94G85 73043-91 Pair New
      Hepa HEPA-PLEAT II H(231/8)331/4EIIUF21/2S Filter 33.25" x 23" x 10" new
      AMAT Applied Materials 0020-01059 Inner Overlay 6" Lot of 27 New
      Keyence Hi-Res Laser Barcode Reader BL-601HA New
      AMAT Applied Materials 0020-01058 Lower Overlay 6" Lot of 22 New
      Fusion Semiconductor Wafer Handler Card PWB-43758 Rev. C Used Working
      AMAT Applied Materials 0190-76053 Network Controller Card Used Working
      TEL Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working
      Komatsu 2000104D Heat Exchanger KIS-0007-3 Used Tested Not Working As-Is
      ASML 854-8306-008E Circuit Board PCB AFA Preamp / ADC 16 Bit Used Working
      Hitachi IOTC-03N Circuit Board Hitachi MU-712E Main Body Used Working
      ASML 854-8306-008B Circuit Board PCB AFA Preamp / ADC 16 Bit Used Working
      ASML 854-8306-008H Circuit Board PCB AFA Preamp / ADC 16 Bit Used Working
      ASML 854-8306-005 Circuit Board PCB AFA Preamp / ADC 16 Bit Used Working
      Kokusai V-III-A Linear Track Vertron III Used Working
      KLA-Tencor 001000 Fast Z Controller PCB CRS1010 Used Working
      WAFAB INCORPORATED WHRV-4722-134A Chiller / Coolant Supply Unit
      Yaskawa Electric USAREM-01DS2K AC Servo Motor
      Kokusai Electric T2DC2-10543 Switching Panel D-Poly-Si
      GNB CORPORATION 25610-005 Valve Angle Halfgate
      TOSHIBA CERAMICS / SVG TPSS-CU BOAT-E-V Boat
      Applied Ceramics 40-589-002 Cover Clamp Tegal
      Kokusai Electric CX1207 Cassette Loader
      SARTORIUS 2462S0002 Balance Scale 2462
      Tektronix 7934 Storage Oscilloscope
      Kokusai Electric CX2402 Controller
      Verteq 1071649-1R 55M Controller SRD Model SC 1600-5M
      AMAT Applied Materials 0010-15222 Lid Flange 650247 New Surplus
      Lam Research 718-098591-001 Gate
      ASM 02-330558C01 ASSY-HCL REMOTE SENSOR
      Nikon 2S005-230 MIC-CNT Board OPTISTATION 3 Used Working
      ASM Gas Line 1089-733-01
      Devicenet 10150603 20’ Cables Lot of 13 used working
      ASM 02-325245D01 ASSY-VAC PUMP ISO VALVE
      ASM 16-178842D01 Flange Rear Chamber ATM 300mm New 
      AMAT Applied Materials 0050-25218 H2/N2/HE Gas Line New
      Metron Technology 882-70-000 Analog Input PCB Board New Surplus
      ASM 54-106931A75 CONTROLLER-PLC 8 POINT ANALOG OUTPUT
      ASM 16-402360-01 COVER-LOADLOCK-LEFT
      Gunda/Neugart PAC11.1.1SBCA Motor & 90735-010-001 Gear Assembly New
      SMC ISE4-01-25 Pressure Switch Reseller Lot of 19 Used Working
      ASM 96-125485A22 ASSY-ACTIVATOR- POD HOLD DOWN
      HP Hewlett-Packard 6641A System DC Power Supply 0-8V / 0-20A
      ASTeX Applied Science & Technology 34-0151-00 User Interface Board PCB Card Used
      Power-One RPM5CSCSKCS674 Power Supply Schlumberger 97171046 Used Working
      Power-One RPM5B8B8A1A1BJCS675 Power Supply Schlumberger 97171047 Used Working
      Power-One RPM5H4H4KCS673 Power Supply 4000W Schlumberger 97171045 Used Working
      Power-One RPM5GEDEC1CS669 Power Supply 2500W Schlumberger 97171028 Used Working
      Power-One RPM5C4C4D4LCS677 Power Supply Schlumberger 97171049 Used Working
      Power-One RPM5C5C5C5C5C5CS652 Power Supply Schlumberger 97172020 Used Working
      Power-One RPM5H4H4KCS673 Power Supply 2500W Schlumberger 97171045 Used Working
      Power-One RPM5FPFPA1A1BJCS704 Power Supply Schlumberger 97171047 Used Working
      Power-One RPM5GEDEC1CS669 Power Supply 4000W Schlumberger 97171028 Used Working
      Power-One RPM5C5C5C5C5C5C5CS652 Power Supply Schlumberger 97172020 Used Working
      Power-One RPM5A4A4C1CS676 Power Supply Schlumberger 97171048 Used Working
      Matheson SEMI-GAS GSM-468 Gas Safety Monitor Cabinet SGS Halocarbon 14 CF4 Used
      Phasetronics P1038A Phase Angle Lamp Drive AMAT 0015-09091 P5000 Used Working
      AMAT Applied Materials 0100-20003 Digital I/O PCB Card DI/O Precision 5000 Used
      Power-One RPM5A4A4C1CS676 Triple Output Power Supply 2500W Used Working
      Power-One RPM5C4C4D4LCS677 Dual Output Power Supply 2500W Used Working
      Power-One RPM5H4H4KCS673 Dual Output Power Supply 2500W Used Working
      Power-One RPM5GEDEC1CS669 Triple Output Power Supply 2500W Used Working
      UNIT Instruments UFC-8164 Mass Flow Controller MFC AMAT 3030-11005 New Surplus
      Power-One RPM5CSCSKCS674 Dual Output Power Supply 2500W Used Working
      TEL Tokyo Electron 5087-400478-15 Frame Motor P20B13100FXSA2 Lithius DEV Used
      TEL Tokyo Electron 5087-400383-14 Motor Assembly MFA090HA5NSC Lithius COT Used
      RadiSys 30772–025 Aquarius Single Board Computer SBC 30705-005 Used Working
      Edwards GVI 50 M Bellows Gate Valve B651-01-00 Used Working
      VAT B90002041 Pneumatic Gate Valve BGV LOTO Refurbished
      TEL Tokyo Electron 3D81-000017-V3 Power Supply PCB TYB511-1/I0AS Used
      Balzers BG M52 000 Rack Mount Magnetron Switching Unit MSU 101 Used Working
      TEL Tokyo Electron TKB7050 CTRL DISP #01 PCB Board AP9E-0995B Lithius Used
      Allen Integrated Assemblies AP10204 PCB Assembly AP15211 Used Working
      Nikon 4S001-107 Power Module Assembly VDBC0002201 Used Working
      Sanyo Denki PY2E015A3MH1P00 Servo Amplifier BL Super PY Working
      Bede Scientific 60-013041-000 Sensor Interface FAB300 Used Working
      AMAT Applied Materials 0270-05576 300mm SIP Encore Dummy Coil Tool Lot of 7 New
      Balzers BG M59 250 Magnetron Control Unit MCU 120 MCU120 Used Working
      TEL Tokyo Electron 3D05-350006-V1 Insulator Ring CD R&D New
      CKD N4S0-T30 Solenoid Valve Manifold N3S010 Lot of 21 Used Working
      Tokyo Electronic Industry TE6036A7 Touch Screen Monitor LCD1012A Used Working
      Nikon 4S008-035 Lamp Control Module KXG76830 Used Working
      AMAT Applied Materials 0090-91694 ITL Card Chassis Assembly XR80 Used Working
      VAT 20046-PA14-1001 Vatterfly Valve Series 200 Used Working
      TRIVAC D16A Leybold-Heraeus 89583 Rotary Vane Vacuum Pump Used Tested Working
      Seren 9500160000 27.12MHz RF Trap LPF2S5K with 10.5M RF Cable Used Working
      ASM 02-180175-01 ASSY-MTC-P8300-NITRIDE
      Nikon Irradiance Illumination Sensor Unit NSR-S307E DUV 300mm Used Working
      MKS Instruments 152H-P0 Automatic Pressure Controller Type 152 Used Working
      Digital Electronics UF7810-DV2-2 15” Touch Screen Assembly TEL PR300Z Used
      Mitsubishi QC-20C-S44 End Effector QC-20C Used Working
      Square D KAL262501127J Molded Case Circuit Breaker Lot of 3 Used
      TEL Tokyo Electron BE6138A2 Touch Screen Monitor LCD1012X Used Working
      ASM 1004-845-01 SUP BY-1023-752-01-MONITOR-TOUCHSCREEN
      Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL THERMO Damaged Connector As-Is
      TPS 301 Pfeiffer PM 041 820 AT Turbomolecular Pump Power Supply TPS301 Used
      TPS 601 Pfeiffer PM 041 821 AT Turbomolecular Pump Power Supply Used Working
       Alcatel 3BK27091BA WiMAX Base Station A9116 0168 Used Working
      Phasetronics P1038A Phase Angle Lamp Drive AMAT 0015-09091 Used Working
      Alcatel 3BK27324AA WiMAX Base Station A9116 Used Working
       Alcatel 3BK27091AA WiMAX Base Station A9116 Used Working
      ASM 1054-500-01 RFK-MANOMETER STRAIN RELIEF BRACKET
      Lam Research 853-190023-001 Hard Disk IDE W/ Controller PCB Assembly Used 
      Varian 9699504S011 Turbomolecular Pump Controller AMAT SemVision cX Used Working
      Bronkhorst AIX-065CE-CM7 Mass Flow Controller 5000 mln/min O2 New
      Celerity UFM-8100 Mass Flow Controller 200 SCCM N2 New
      Bronkhorst AIX-042CE-CM5 Mass Flow Controller 1000 mln/min Ar New
      Tylan FC-2950MEP5 Mass Flow Controller 500SCCM Ar New
      Bronkhorst AIX-112CE-CM4 Mass Flow Controller 500 mln/min He New
      Bronkhorst AIX-108CE-CM6 Mass Flow Controller 2000 mln/min N20 New
      MKS Instruments 640A11TW1V22F Pressure Controller 10 Torr New
      Horiba STEC SEF-E40 Mass Flow Controller 200 SCCM N2 New
      Bronkhorst AIX-041CE-CM4 Mass Flow Controller 500 mln/min Ar New
      Brooks Instrument SLA7950S1EGG1B2A1 Mass Flow Controller 2000 SCCM Ar New
      Bronkhorst F-201CM-EAB-88-V Mass Flow Controller 200mln/min He New
      Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 1000 SCCM N2 New
       Intelligent Instrumentation EDAS-2000E-2A Control/Power Unit EDAS-2001E-1 Used
      Fusion Semiconductor 248281 640 x 480 Gprahic LCD Driver Rev. F Used Working
      Therma-Wave 14-006725 Heater Control Assembly PCB card Rev. G Used Working
      Hitachi DC Power Supply Unit 1 M-712E Etcher Damaged Case Untested As-Is
      TEL Tokyo Electron HTE-PRB-A-11 PRB Panel I/O Board PCB TAB1100 Used Working
       Fusion Semiconductor 429291 3 Axis Robot Base Board PCB Rev. C Used Working
      Shimadzu 262-78187-20V1 TMP Turbomolecular Pump Control Cable Set 20 Meter Used
      Nikon RMN1000 Rotary Encoder Assembly Theta Stage Motor NSR-S204B Main Body Used
      GSI Lumonics X-Y Scanner Assembly CCA-10069 311-155931 KLA-Tencor CRS-3000 Used
      Zendex ZX 564 ZBX Motherboard ASML 859-8147-001-B Untested As-Is
      Rorze RE120-002-001 Indexer Elevator Assembly RC-233 RD-023MS Used Working
      Yaskawa JAMSC-B1064 Module 24VDC Output Used Working
      Yaskawa JAMSC-B1065 24VDC Input Module MEMOCON-SC Lot of 22 Used Working
      Dortronics Systems 65-0225-001 Front Door Magnetic Lock Lot of 4 New
      KLA-Tencor 760-333022-000 SLX Reflected Light Assembly Used
      Granite Microsystems IAC-H668A Compact Industrial Computer PC Used Working
      Southwest Quartz G6876 Quartz Window Hand Off Lid AMAT Centura CVD New Surplus
      Tylan General FC-2900M Mass Flow Controller Lam Research 797-91413-924 New
      UNIT Instruments 1630-100013 Mass Flow Controller MFC UPC-1630 New Surplus
      Pelco DD08D21 Intercept Dome Camera Assembly BB08C21 DRD08A21 Used Working
      DNS Dainippon Screen PC-99033D-0123 PCB Card VME-HLS-DS DNS FC-3000 Used
      Emoteq QB02300-R04-HBE BLDC Motor Amplifier 90325-058 Lot of 5 Used Working
      Materion Microelectronics 7113419 Ni/Fe 14% wt% NiFe14W Target New Surplus
      Umicore AKQ515 Planar Magnetron Sputtering Target Kit NiFe45.5 wt% New Surplus
      Materion Microelectronics 7113050 99.95% Co/Fe 10% at% Target New Surplus
      Watlow Anafaze TLME010DDDDDDDD Thermal Monitor Temp Switch TLM-8 Lot of 14 used 
      Keyence PJ-V20T Light Curtain Transmitter PJ-V20R Receiver PJ-V90 Set Used
      Celerity IFC-125C Mass Flow Controller UNIT 125 AMAT 0190-16347 New
      Marathon Electric 7VH18TTDR7325DT L Pump M315 Burks 350CA7-1-1/4-AB-M Used
      UNIT Instruments UFC-8560 Mass Flow Controller 300 CCM C2F6 New
      UNIT Instruments UFC-8565 Mass Flow Controller AMAT 3030-11199 New
      TEL Tokyo Electron CR-125 Wafer Holder Housing D122850 Rev.B new 125mm
      UNIT Instruments UFC-8565 Mass Flow Controller 8560 AMAT 3030-10585 New
      Alcatel-Lucent C-WBS 9710 WiMAX Base Station 3BK16901ABAA used working 
      TEL Tokyo Electron 1B80-002397-11 REG CN Circuit Board MDK-794V-0 Used Working
      TEL Tokyo Electron OYDK-059 Circuit Board PCB I/O CHEM #02 Used Working
      AMAT Applied Materials 9010-00163 ITL Single Gas Box XR80 Implanter Used Working
      SVG 90S End Station CPU Interface Unit Used Working
      ASM 16-351416D01 Quartz Arm 150mm New 
      TEXAS INSTRUMENTS  Insta-Clean Machine
      Entegris DE-21899/DE-21905 Carrier Baffle 150mm SiC-1 Disk Nitride SUPERSiC New
      SVG Silicon Valley Group 38465-02 Spin Chuck Dev 90SER 150mm ASML SVG 90 New
      Nikon 4S007-900-1K IU-X2A Board PCB NSR-S202A Used Working
      ASML Exciter Lamp 859-0515-006-A Used Working
      SIEMENS 500-5019 Word and Strobe w Top Connector
      JAE E280-000044-11 Flat Panel Display w/Cable TEL Tokyo Electron T-3044SS Used
      JAE E280-000044-11 Flat Panel Display TEL Tokyo Electron T-3055SS Used Working
      WATLOW 05-C0164 Voltage Controller
      ASM 42-119072A19 PROTECTOR-VOLTAGE SAG 6A 120VAC
      MKS Instruments DMA-24921 Baratron Manometer ASM 65-106645A80 
      HVA High Vacuum Apparatus 22211-0210 Pneumatic Slit Valve Amray Used Working
      Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S307E System Untested As-Is
      ASTeX 34-0017-02 Liquid Chemical I/O Smart Controller PCB Card Used Working
      Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S307E System Used Working
      Pall ABFG1GP15L3EH11-K7 Filter ULTIKLEEN G2 EXCELLAR ERL New Surplus
      Nikon 4S001-082 Driver Control PCB Card PW-NJ NSR-S204B System Used Working
      KLA Instruments 710-650879-20 Dual Stepper Driver PCB Rev. B1 Robot-Z 2132 Used
      TEL Tokyo Electron 1B80-002389-11 DN Board Assembly DI80DO80 PR300Z Used Working
      AMAT Applied Materials 0190-00371 DeviceNet Digital I/O Rev. P4 PCB Card Used
      KLA Instruments 710-650879-20 Dual Stepper Drive PCB Shoulder Effector 2132 Used
      KLA-Tencor 740-607293-02 Interferometer Receiver Y Assembly Used Working
      Lam Research 810-017093-002 Gap Motor Control PCB New
      Ultrapointe 001003 Fast Z Controller PCB Rev. 5 KLA-Tencor CRS-3000 Used Working
      Lam Research 715-009827-001 4" Edge Ring New
      KLA-Tencor 740-607293-01 Interferometer Receiver X Assembly eS20XP Used Working
      Lam Research 715-011531-015 Anodized Aluminum Electrode 715-11531-15 Used 
      Lam Research 715-011502-001 Upper Electrode Cooling Ring New
      Lam Research 853-055030-001 Motorized Valve Assembly New
      Yokogawa SR1200A02 DD Servo Actuator -2SN*1C/96S2895 TEL ACT12 Untested As-Is
      IGC Polycold Systems T1102-01-000-14 Compressor Cryotiger Untested As-Is
      Semitool 60270-05 Heater Blanket Reseller Lot of 7 New Surplus
      TEL Tokyo Electron D126902-02A Collimator New
      Applied Ceramics 91-01228A Pinless 8" Quartz Insulator New
      Pacific Scientific SC402-010 Servo Controller Used Working
      SMC INR-244-217A Power Supply 8inch PP THERMO-CON Used Working
      Ceramic Tech 719-250922-001 Dark Space Ring Shield Lwr Electrode Lam Refurbished
       AMAT Applied Materials 0100-90492 TPDU Monitor PCB Card Used Working
      TEL Tokyo Electron 28214531T1140 200mm Electrode Cover New
      TEL Tokyo Electron 3281-000138-13 PCB-CTRL Assembly Used Working
      AMAT Applied Materials 0100-91015 Monitor Interface Board PCB Card Issue D Used
      Pro-Face HTK270-M HMI Touchscreen Graphic Panel Used Working
      Schott 20800 Fiber Optic Light Source Nikon 80962-1 Illuminator 80952-0 Used
      SMC INR-244-216W Power Supply RCP THERMO-CON Used Working
      Yaskawa Electric SGMAH-02A1A4S AC Servo Motor Assembly Used Working
      SMC INR-244-242B Power Supply PP THERMO-CON Used Working
      AceCo R33-171 KITZ SCT IVBL 4" Right Angle Vacuum Isolation Valve Refurbished
      Schott 20800 Fiber Optic Light Source Nikon 80962-2 Illuminator 80951-0 Used
      Pentagon Technologies 0020-26589 6" Preclean Shield AMAT Applied Materials New
      Pentagon Technologies 0020-24386 Cover Ring 150mm AMAT Applied Materials New
      Pentagon Technologies PT-0943-0192 Clamped Cover Ring TiW New
      RF25M RFPP RF Power Products 660-093818-003 Power Supply 7521968010 Tested As-Is
      AMAT Applied Materials 0100-09266 System Interface UHP Board PCB P5000 Used
      RFPP RF Power Products ATL-100RA Automatic Matching Network Astech Used Working
      VAT 09038-PE44-AB01 Pneumatic Gate Valve BGV LOTO Edwards B90002031 Used Working
      Hitachi ZVV029 VME Interface Board I-900 EXBF-VME2 PCB Card I-900SRT Used
      Lam Research 853-011117-001 Upper Chamber Parts Lot 010250C1-AO01E 4420 Used
      AMAT Applied Materials 0100-90491 Wafer Arm Position Board XR80 Used Working
      SVG 90S Station CPU PCB 80266B2-01 Working
       Tosoh Quartz 70290-01 Manipulator Assembly New Surplus
      KB Electronics 92A61633010000 DC Motor Speed Control VARI-PAK Used Working
      Sansha Electric HKD-1510BT Metal Surface Treatment Power Supply SanRex Used
      S&C Electric 005-111-4 Fiber Optic Board PCB 004-111 Module #8 Used Working
      Nikon 4S001-107 Power Module PCB VDBC0002201 0-T33-01 NSR-205C used working
      ASML 4022.436.8604 HSSL Fibre Channel Processor Board PCB Card Used Working
      S&C Electric DA810001DG01 IGBT Gate Resistor Board PCB DA810006DG01 Used Working
      Nikon 4S001-082 Power Supply Card PCB PW-NJ NSR System Used Working
      Brooks Automation TT1ENR2-1-TVS-ES-BROOKS6 Robot Teach Pendant Used Working
      AMAT 0110-00273 PRE-ACCEL Vendor Interface Leap PCB Card 0120-00273 Used
       S&C Electric 4158-13 IGBT Gate Driver Interface PCB 005-156-12 Used Working
      DIP 15049105 Relay Control Board PCB Card DCN491 AMAT 0190-08860 Used Working
      S&C Electric 005-90-12 Analog Interface Board PCB 004-90-14 Used Working
      MRC Materials Research 884-98-000 Power Supply PCB Rev. C Eclipse Star Used
      ULVAC Sinku Kiko DSB-600 Sliding Valve Type Vacuum Pump 590 Torr Tested As-Is
      Verteq ST800 Motor Assembly Sunburst Megasonic SRD GP 7409 Used Working
      SMC INR-244-216C Power Supply RCP INR-244-244T-670 THERMO-CON Used Working
      Karl Suss Microscope Objective Stage Semiautomatic Probe Station Nikon As-Is
      ULVAC Sinku Kiko DSB-600 Sliding Vane Type Vacuum Pump 700 Torr Tested As-Is
      VAT 12044-PA24-1002 Vacuum Gate Valve TEL Tokyo Electron Unity II Used Working
      SMC INR-244-216T Power Supply RCP INR-244-244T-670 THERMO-CON Used Working
      Nikon Microscope Lens Assembly Set OPTISTATION 3 Used Working
      Nikon 4S007-876 SHRINC-INTF-3 Interface Control Board PCB NSR-S204B Used Working
      Nikon 4S005-206 PPD-CCD Board NSR-S204B Used Working
      Nikon 4S007-580-? PCB Board PPD-A/D Assembly 4S007-598-? NSR-S204B Used Working
      Ultrapointe 001003T Fast Z Controller PCB Board KLA-Tencor Used Working
      Particle Measuring Systems FiberVac Measuring Module Untested As-Is
      Hitachi Cosmic Industry BJ1T Motor Cylinder 3-850138-^A
      Internix PF802-ADS Robotics Teach Pendant Profort 802 Used Working
      AMAT Applied Materials 0100-02146 EVR Gap Servo PCB Card Used Working
      SMC INR-244-230V Power Supply PP 12inch THERMO-CON Used Working
      ASML 4022.480.3498 Safety Control Interface Module 462121H5U Used Working
      SMC INR-244-272A Power Supply 2TP-0C203 TEL Tokyo Electron Lithius Used
      Zygo Automation Systems N2 Wafer Spray Arm Assembly N2-4 ARMI Used Working
      AE Advanced Energy 2302118-E MDX Universal Drive PCB Board 2801041D Used Working
      Yaskawa Electric DF9202111-C0 Servo Control PCB Board CLSR-CA-64N2A Used Working
      Pro-Face GP37W2-BG41-24V Graphic Panel Touch Screen QPM3D200B2P Fibox Case Used
      Lenze E94SO49S1N Servo Drive Digital Servo 94 SimpleServo Used Working
      TEL Tokyo Electron CPC-T0001A-13 Chemical I/O Board PCB T0B1001 ACT12 200mm Used
      Gatan 678.J09CK003BY Power Supply JEM-2010F TEM Microscopy System Used Working
      VAT 07512-UA24-0001 Pneumatic Atmospheric Door Actuator Slit Valve Copper Used
      Ultrapointe 0025243 Fast Z Controller PCB Board KLA-Tencor CRS-3000 Used Working
      Hamamatsu C9047-01 Nikon NSR-S307E Beam Matching Unit Used Working
      ITT Heynau Elektronik 1TYRhB Speed Controller Balzers B5 123 154 AA Used Working
      ITT Heynau Elektronik TYR-hB Speed Controller Balzers B5 123 154 AA Used Working
      CKD FSM-N-050-6A Pressure Sensor Reseller Lot of 5 Nikon NSR-S205C Used Working
      Lam Research 810-800031-300 System Interlock 300mm PCB 710-800031-300 Used
      Tropel Doublet 3 Optical Inspection Lens KLA-Tencor AIT I Used Working
      Edwards D38661000 Active Gauge Controller Used Working Surplus
      MKS Instruments LM501-01661-2D1 Spectra Mass Spectrometer Filament As-Is
      Hitachi Recorder Plotter Module M-308ATE Used Working
      Verteq M-002-05 Frequency Generator Used Working
      KV Automation 4022.480.63152 Manifold Nikon Reticle Loader Used Working
      ASML 859-8272-001 MS2 + ECU System Board Used Working
      FSI International 233-5004-24 Communications PCB Used Working
      AMAT Applied Materials 0100-00985 HP Gas Interlock 10 Torr SDS PCB Card Used
      AMAT Applied Materials 0020-26906 PB Shield Lower Knee 6" Refurbished
      AE Advanced Energy 3156023-000 L RF Amplifier Goldfinger Technologies
      Cognex 200-0028 VM-14 Vision Processor VME PCB Card Rev. Y Ultratech 4700 Titan
      ASM 1050-580-01 RF GEN EMERALD K-MODEL CABINET KIT
      Nematron IWS-30 Operator Interface Panel
       AE Advanced Energy 3156023-000E RF Amplifier Verteq
      Swagelok 6LVV-MSM-BME-W2 Flow Regulating Valve Lot of 3 New
      AMAT Applied Materials 0020-31147 Base Insert
      Cognex 200-0028 VM-14 Vision Processor VME PCB Card Rev. P Ultratech 4700 Titan
      AMAT Applied Materials 0200-10447 SHADOW RING
      AE Advanced Energy 3156023-000 K Power Amplifier Verteq
      VAT 03110-NG24-0001 Rectangular Insert Valve MONOVAT ASM 50-123824A12 46x236mm
      AMAT Applied Materials 0100-01691 Gas Interlock 10 Torr SDS PCB Card Used
      Edwards iQDP Pump Cables Reseller Lot of 7 Used Untested As-Is
      AMAT Applied Materials 0100-01734 EVR Lateral Servo PCB Card Used Working
      AMAT Applied Materials 0100-00941 Gap Servo PCB Card Used Working
      AMAT Applied Materials 0110-01139 PSD Board BEAM ALIGN PCB Card Used Working
      AMAT Applied Materials 0100-02195 Gas Interlock 10 Torr SDS PCB Card Used
      Mitsutech MTK1800V-TR-ASM Panel Mount LCD Touch Screen Monitor Untested As-Is
      AMAT Applied Materials 0110-01139 PSD Board GRIPPER IN LL PCB Card Used Working
      AMAT Applied Materials 0110-01139 PSD Board E-CHUCK PCB Card Used Working
      AMAT Applied Materials 0100-01735 EVR Gap Servo PCB Card Used Working
      Nikon 4S007-876-A Circuit Board PCB SHRINC-INTF-3 NSR-S204B Used Working
      AMAT Applied Materials 0100-01487 PSD Board GRIPPER IN LL PCB Card Used Working
      SMC INR-244-272B Power Supply Assembly 2TP-1B861 TEL Tokyo Electron Lithius Used
      Swagelok FJ Series 1/2" Stainless Steel Convoluted Hose TS-15 Clamp 6.5" Used
      SMC INR-244-272 Power Supply Assembly 2TP-0C203 TEL Tokyo Electron Lithius Used
      Brooks T1102-01-290-14 Cryotiger Compressor Cooling System Untested As-Is
      Edwards D38665000 Active Gauge Controller Used Working
      Hitachi HA-024 DC/DC Conversion Board #05 PCB Board PHA-024-0 Used Working
      VAT B90002031 Pneumatic Gate Valve BGV LOTO Edwards Copper Cu Exposed Used
      TEC IZU 4S064-644 Power Supply Nikon NSR Used Working
      Siemens S30861-Q485-M-H3/01 Telecom Board Base Station Used
      Leybold 306396-2002-R System Controller Used Working
      AMAT Applied Materials 0021-12610 300mm PVD/PC SST Hoop Rev. 005 Used
      Hitachi DI0-01N Control PCB M-712E Used Working
      AGM Electronics Direct Current Transmitter TA4000-13 Lot of 7 Used Working
      Hitachi IOTC-02N Control Board PCB Used Working
      AMAT Applied Materials 0040-06524 Shutter Blade BESC 300mm Rev. 005 Used Working
      Siemens 505-6204 4-Channel Analog Output Used Working
      Internix PF810-ASEHT Teach Pendant Profort 810 Hitachi PEC810-03E M-511E Used
      Teledyne 1001840702A Power Supply Board Used Working
      Leybold 307285-2002-F System Controller Used Working
      Nikon 4S013-417 RBTLNK2 Link Board PCB NSR-S306C Used Working
      Verteq 3156023-000C Amplifier Unit AE Advanced Energy Used Working
      HP Hewlett-Packard Pulse Function Generator 8116A Used Working
      TEL Tokyo Electron 1D05-300185-W2 Ring Insulator A7(HF1.0)(DRM2) New
      SMC INR-244-216V Power Supply RCP THERMO-CON Used Working
      Scientific Atlanta V9528015 TV Modulator Pulsar MKII MN Standard New Surplus
      VLSI Standards SHS-880 QC Step Height Standard
      West Coast Quartz 1810-329156C11 Focus Inner Ring N Cooling (Rubberless) New
      Amray 800-2436 PC12 SEM 1800 TV Rate Control System Card PCB Used Working
      SVG Silicon Valley Group 168654-002 Plug Burn-In Bottom Double Wall 5 Zone New
      ASM 16-193482-01 Susceptor 300mm Refurbished
      VLSI Standards SHS-4.5 QC Step Height Standard
      ASM 96-125485A26 ASSY-END EFFECTOR SMIF 200MM CLN/PKG
      West Coast Quartz 91-00787B Quartz Shadow Ring Notch MXP + 200MM
      VAT 07512-UA24-0002 Slit Valve Used Working
      TEL Tokyo Electron AP9Z-1785C Board, Motor I/O #01 PCB TKB3500 ACT12-300 Used
      Scientific Atlanta V9528631 TV Modulator Pulsar MKII BG Standard New Surplus
      Cutler-Hammer KD3400F Circuit Breaker KD 35K KT3200T KT3350T Lot of 2 Used
      Asyst Technologies 3200-1210-02 Interface Communication Board PCB TS-3200 Used
      TEL Tokyo Electron 5087-400487-15 Dev.Solution Valve CKD AMF-V-X1 Lithius Used
      Novellus Systems 02-168108-00 Sesioc Sioc Bath Module Used Working
      Yaskawa UYOPI-020SC Minertia Motor QM Series UGQMEM-02MNQ41 NSR-Series Used
      Novellus Systems 02-168109-00 Sesioc Sioc Electrofill Used Working
      Modus DA406M0RRRF0 DA409M0RRRF DA408M0RRRF Display/Alarm Lot of 6 Used Working
      Edwards NGW073000 Pneumatic Gate Valve Assembly 410 70 Cu Damaged Plug As-Is
      Yaskawa UTOPI-020SC Minertia Motor QM Series UGQMEM-02MNQ41 NSR-Series Used
      Panasonic MSM152A2D AC Servo Motor Used Working
      Honeywell MIDAS-E-LEL Gas Detector Calibrated for C3H8 Used Working
      Nikon 4S007-876-A Circuit Board PCB SHRINC-INTF-3 NSR-S204B Used Working
      Pall LDFGLIGPDLI2F2-K3 Filter Excellar Kleen-Change Ultikleen G2 New
      D.T.I. Design Technology 27280-201 PCB Card DT50017 Used Working
      Panasonic MSD023A1XX AC Servo Driver MINAS TEL 2980-192233-12 ACT12-300 Used
      TEL Tokyo Electron LPC-T0007A-11 Load Port Add On Board T0B1007 ACT12 Used
      TEL Tokyo Electron HTE-PUM-A-11 Pump Add On Board HTE-PUC-A-11 Used Working
      Johnson Controls D350AA-1 Display & S350AA-1 Stage Module Lot of 14 Used
      Laser Applications 9530 Laser Head Untested As-Is
      Zellweger Non-Intrusive Calibrator Digi-Cat Lot of 14 Used Working
      Nikon 4S080-626 LC-PRES2A Board PCB NSR Used Working
      Asyst 04290-101 Load Lock Elevator Rev. 1 GaSonics 94-1119 Hine Design As-Is
      AMAT Applied Materials 0020-17003 60Hz Transition Flex Duct New Surplus
      VAT Valves 10416-2 Insertable Gate Valve Used Working
      AMAT Applied Materials 0021-10744 RF Match Capacitor Assembly Outer Coil Used
      Keithley 3930A Multifunction Synthesizer 0.1-1.2 MHz Used Tested Working
      DIP Incorporated 15039603 CDN396 Board PCB Card AMAT 0190-01270 Used Working
      Sansha Electric HKD-1510BT Metal Surface Treatment Power Supply SanRex Used
      Thermonics 1B-079-1D CPU Processor PCB Card 1B-079-XA Used Working
      MKS Instruments AS01391-21 CDN391R Board PCB Card AMAT 0190-24115 Used Working
      FSI 290104-400 Pneumatic Chemfill Interface Board PCB 209104-200 Used Working
      ASML 4022.486.29051 RH Pneumatic Manifold ASML Stepper Dual Scan Used Working
      Delta Design Computer 97-9045-01 07-01156-000 Summit ATC Handler used working
      Pall ABFG1GPQL3EH1-K3 Ultikleen G2 Excellar Filter New
      Pacific Scientific SC403-002 Servo Controller 105-040300-01 Used Working
      Delta Design 1970001102 Rev D Computer Summit ATC Handler used working
      IMS Electra 650-0095-101 PCB Card Rev. A 300-0271-000 Used Working
      Delta Design 1970001102 Rev A Computer Summit ATC Handler used working
      Varian Semiconductor E15000170 Current Integrater P.C. Board PCB Used Working
      TDK TAS-LED Circuit Board Lot of 4 TAS-300 Load Port Used Working
      TPS 201 Pfeiffer PM 041 819 AT Turbomolecular Pump Power Supply Used Working
      AMAT Applied Materials 0100-01877 Focus PSU Interface PCB Card Used Working
      AMAT Applied Materials 0090-91694 Controller PCB Module Quantum Used Working
      Hitachi Etch Chamber EC2 Vacuum Control Box M-712E Used Working
      AMAT Applied Materials 0100-01486 Y Sensor PCB Rev B Quantum X PRA Used
      AMAT Applied Materials 0100-01491 Beam Align PCB Rev C Quantum X Used Working
      AMAT Applied Materials 0100-01486 Y Sensor PCB AMAT Quantum X PRA Used
      Mitsutech MTK1800V-TR-ASM Touch Screen Monitor Panel ASM Epsilon Used Working
      AMAT Applied Materials 0100-91015 Monitor Interface Board PCB Card Issue E Used
      AMAT Applied Materials 0100-01415 Vendor Interface A Mag PCB Used Working
      AMAT Applied Materials 0100-01925 Enhanced Purge I/Lock PCB Card Quantum X Used
      AMAT Applied Materials 0090-91085 Guiding Tube Circuit Board PCB Used Working
      AMAT Applied Materials 0100-00546 Circuit Board PCB Endura 300mm Used Working
      Hitachi Etch Chamber EC1 Vacuum Control Box M-712E Used Working
      AMAT Applied Materials 0100-01488 Z Sensor PCB Rev. B AMAT Quantum X PRA Used
      AMAT Applied Materials 0100-01491 Beam Align Board PCB Quantum X Used Working
      Yaskawa WX SWB1 Amplifier Nikon 4S587-603 Used Working
      TEKVisions MT1200 Touch Screen Monitor Panel ASM Epsilon Used Working
      AMAT Applied Materials 0100-90650 Wheel Current Board PCB Card Issue B Used
      Lauda C 6 CS Constant Temp Immersion Heating Recirculating Bath C6CS 2000 As-Is
      AMAT Applied Materials 0100-90881 Vacuum Interlock PCB Card Used Working
      AMAT Applied Materials 0100-01485 X Sensor PCB Rev B AMAT Quantum X PRA USed
      AMAT Applied Materials 0100-01489 E-Chuck PCB Rev. A Quantum X PRA Used Working
      AMAT Applied Materials 0100-01485 X Sensor PCB AMAT Quantum X PRA Used
      AMAT Applied Materials 0100-01489 E-Chuck PCB Quantum X PRA Used Working
      Hitachi ESC-2000E ESC Power Supply Assembly ESCID ESCOD Untested As-Is
      Haskris Company R050 Recirculating Chiller R-Series Copper Not Working As-Is
      AMAT Applied Materials 0100-01326 Turbomolecular Pump Interface PCB Card Used
      Hitachi 564-5501 Circuit Board PCB CHR IF Hitachi S-9380 Used Working
      Hitachi BR Control Box BBBS-11 DI0-01N AI0-02N ILB-02 MU-712E Used Working
      AMAT Applied Materials 0100-01445 Circuit Board PCB 0120-00415 Used Working
      AMAT Applied Materials 0100-01490 Faraday Alignment PCB Rev C Quantum X PRA Used
      AMAT Applied Materials 0100-01488 Z Sensor PCB Quantum X PRA Used
      AMAT Applied Materials 0100-01490 Faraday Alignment PCB Quantum X PRA Used
      AMAT Applied Materials 0100-00574 PVD/IMP Chamber Interlock PCB Card Endura Used
      AMAT Applied Materials 0100-01844 Charge Voltage PCB Card Used Working
      Schlumberger 97911337 C/H Comparator PCB ASM Epsilon 3000 Used Working
      AMAT Applied Materials 0100-00986 SDS Gas Interlock PCB Card Issue C Used
      Hitachi 545-5591 PCB Card CRTC(T) S-9300 Used Working
      Hitachi 566-5513 PCB Card C/G RAM S-9300 Used Working
      Iwaki Musen Kenkyusho SC879EE Processor SEQ1 PCB MK2G68591C Used
      AMAT Applied Materials 0100-00986 SDS Gas Interlock PCB Card Issue B Used
      Hitachi SEQ Box Power Module I-900SRT Used Working
      Canon BH8-1761-01 MVCD PCB BG4-8705 Used Working 
      TEL Tokyo Electron 068-000025-1 Thyristor Firing Card PCB DC-214.H3 Refurbished
      Nikon 4S013-225-1A Backplane Interface Board PCB SPAPWT NSR-S202A Used Working
      Nikon 4S008-268 Power Supply Board PCB IU-PWR2-SRC NSR-S205C Used Working
      Nikon 4S013-255 Interface Fuse Board PCB STGPWT NSR-S202A Used Working
      Pall LDFGL1UCFDL12E2-K7 Filter ULTIKLEEN G2 KLEEN-CHANGE New Surplus
      Vaisala PTB210A1A9A Pressure Transmitter Nikon NSR-S307E Used Working
      IDE 3000561 003 FSS Digital I/O Com Module KLA-Tencor 11020616081000 Used
      TEL Tokyo Electron E280-000016-41 Video PCB Card E2B013-22/FPD3 T-3044SS Used
      Pentagon Technologies 16-126664-01 1 Stage Shield Novellus New Surplus
      Nikon 4S018-983 Interface Processor Board PCB RA-TYUKEI-ETTR-2+ NSR-S307E Used
      Xycom Automation 1502-C000000000B0A Pro Face Touch Screen XT 1502 Axcelis Used
      KLA Instruments 710-650879-20 Dual Stepper Driver PCB Rev. F0 Robot-Z 2132 Used
      Hitachi Etcher Interface Pre-Aligner M-712E Used Working
      Baldor BSM80N-375BA Brushless AC Servomotor S2P117W045G1 AMAT Quantum X Used
      Panasonic MQMZ022A2D Servo Motor Assembly AMAT Applied Materials VeraSEM Used
      Hitachi HES-20-2MHC LD-601 Pre Aligner Wafer Loader M-712E Used Working
      KLA Instruments 006-657857-01 Joy Stick and Drive Assembly 2132 used Working
      Yaskawa XV-RCM2500T-4 Robot Rail Linear Track Optistation 7 Used
      KLA 710-650879-20 Dual Stepper Driver PCB Shoulder Effector 2132 Used Working
      KLA Instruments 710-650879-20 Dual Stepper Driver PCB Card Theta Spare 2132 Used
      SVG Lithography Systems 8790317006 Light Filter Rev. A Used Working
      KLA Instruments 740-653222-00 Y Tachometer Motor 3629-3X-REF 2132 Used Working
      KLA 710-650879-20 Dual Stepper Driver PCB Card Turret N/Z/F 2132 Used Working
      Nikon 4S008-034 Circuit Board PCB WLAIR Nikon NSR-S205C Used Working
      KLA Instruments 710-610801-001 Video F/O Receiver PCB Card Used Working
      Kollmorgen S31061-NA Digital Servo Amplifier SERVOSTAR 310 AMAT Quantum X Used
      Haskris Company WW1 Water to Water Recirculating Chiller Used Tested As-Is
      Melec C-820A Stepping and Servo Motor Controller ASM Epsilon 3000 Used
      Internix PF810-ASEHD4 Teach Pendant Profort 810 Hitachi S-9300 Used Working
      Kokusai Vertron Accuron Digital Controller CW1501A(01) Used Working
      Microvision MVT7080 Computer MVTPC70 Used Working
      SVG Silicon Valley Group 80166FE-01 Station CPU Board Used Working
      Delta Design 1657925-501 68008 Processor Board PCB 1664814-001 Used Working
      Leica E84-BOARD Interface PCB Module KLA-Tencor 11301397220000 Used Working
      Chiba Precision C-214402G Servo Motor TORMAX NSR-S204B Used Working
      Matrox MRV2/VID Audio Video Graphics I/O PCB Card 521-0201 MARVEL_2 Used Working
      Perkin-Elmer MVS-9012-05 Fiber Optic X-Strobe Source KLA 11301400181000 Used
      Nikon 4S007-789 Power Supply Board PCB LIUREG NSR System Used Working
      KLA-Tencor Filter Lens Stage Assembly GPIO Laser Optics AIT I Surfscan Used
      Perkin-Elmer MVS-9012-05 Fiber Optic X-Strobe Source KLA 11301400191000 Used
      Nikon NK2551 Sensor EPROM Receiver Board PCB NSR-S204B Used Working
      AMAT Applied Materials 0100-91085 Guiding Tube PCB Card XR80 Used Working
      Red Lion Controls GL300T002400 Operator Control Panel GL300T Used Working
      Yaskawa XU-DL2100 Servo Drive Nikon 4S064-521-2 Used Working
      SMC INR-244-216U Power Supply RCP THERMO-CON Used Working
      Nabtesco 3D80-000005-V3 Wafer Transfer Robot Motor Base Used Working
      SMC INR-244-217B Power Supply PP 8inch THERMO-CON Used Working
      SVG Silicon Valley Group Operator Control Panel 90S DUV System ASML Used Working
      Haskris Company R050 Recirculating Chiller R-Series Display Not Working As-Is
      Amray 90793D PC Card Front Panel Controller 800-1707D PCB Used Working
      TMH 200M P Pfeiffer PM P03 050 Turbomolecular Pump Turbo Not Working As-Is
      Amray 91024 Gun Control Card PCB 800-1750D Rev. E2 Used Working
      TMH 200M P Pfeiffer PM P03 050 Turbomolecular Pump Turbo Not Working As-Is
      TEL Tokyo Electron EC80-000117-21 Circuit Board TEB108-11 SIO TEL T-3044SS Used
      Controlotron System 990 Ultrasonic Flowmeter 994DFTDNBB-3-1904 working
      Controlotron System 990 Ultrasonic Flowmeter 994DFTDNBB-3 working
      AMAT Applied Materials 0040-77316 Robot Alignment Fixture and Manifold Used
      AMAT Applied Materials 0090-90966 Power Supply PCB Card daq Mkll Type B Used
      Anelva H11-09728 A/D Analog to Digital Converter SM-10 PCB Assembly Rev. 01 Used
       Schlumberger 97911054 C/H Comparator Board PCB Rev. 4 Used Working
      AMAT Applied Materials 0100-90870 4 Phase Stepper Controller PCB Card Rev E Used
      AMAT Applied Materials 0100-90071 Cryo Pump Temperature Monitor PCB Card Used
      Hitachi Inspection Optic Module I900SRT Used Working
      Mattson Technology 263-14789-00 Fiber Optic Assembly PCB 255-04351-00 Used
      Sharp 15" LCD Monitor KLA-Tencor 0095643-000 Untested As-Is
      VAT 07512-UA24-0002 Slit Valve Copper Cu Exposed Used Working
      Ulvac PST-05A Standard Diode Ion Pump UlvIon Used Working
      VAT B90002031 Pneumatic Gate Valve BGV LOTO Edwards Used Working
      West Coast Quartz 91-00362A 8" Quartz Ring EXT CATH Metal Notched New Surplus
      Raychem TTB-IZA Water Liquid Leak Detection System TraceTEK Used Working
      TEL Tokyo Electron 3D08-000020-12 Circuit Board TYB514-1/IO48 T-3044SS Used
      Raychem TTB-FA Water Liquid Leak Detection System TraceTEK Used Working
      KLA-Tencor 073-404555-00 Driver Board 710-404556-00 5107 Overlay Used Working
      Nikuni 25CLX15U5 MLTC Centrifugal Pump w/ 25CLX15U5 Motor Nikon NSR-S205C used
      Kikusui PMC18-2A DC Power Supply SUNX SF1-SC TEL Unity II Used Working
      Lenze E94S040S1N Simple Servo Digital Servo 94 Drive Used Working
      Lam Research 810-17002-001 I/O Breakout Board Rev. D Used Working
      Saint-Gobain Furon VPM3-F46 Regulator Valve New
      Robitech 980-2300 Valve Module PCB Card 681-0181-009 Lot of 7 Used Working
      Valcom RN-760Torr-2VAO-4TL7 Pressure Switch Lot of 9 Used Working
      Semitool Ceradyne 950T0006-01 300mm Film Heater Thermal Chamber Substrate new
      MRC Materials Research A110999 883-37-000 I/O Receiver Control Board Rev. B Used
      Parker Elbow Connector FEC-6-P-T Lot of 46 New
      MKS Instruments AS01396-6-3 Digital I/O PCB Card CDN396R New Surplus
      AMAT Applied Materials 0100-09174 TEOS Gas Interface Board P5000 Used Working
      Lam Research 713-21816-1 Rev.B Blank Cover New
      APD Cryogenics T1101-01-000-14 Cryotiger Compressor Cooling System IGC As-Is
      AMAT Applied Materials Quartz Shadow Ring New Surplus
      G&L PUMP 2SVC1G2F0H PUMP WITH BALDOR MODEL CM3155 MOTOR new
      APD Cryogenics 263517D Cryotiger Compressor Cooling System Untested As-Is
      TEL Tokyo Electron 1B80-002390-11 DN Board 1B80-002395-11 PR300Z Used Working
      Hitachi 571-7028 Processor PCB Board AFBUS00 I-900SRT Used Working
      TEL Tokyo Electron 1B80-002389-11 DN Board 1B80-002393-12 PR300Z Used Working
      TEL Tokyo Electron 1B80-002390-11 DN Board 1B80-002396-11 PR300Z Used Working
      TEL Tokyo Electron 1B80-002389-11 DN Board 1B80-002394-11 PR300Z Used Working
      Nikon 2S017-450 SW-I/F1 Control Panel PCB 2S701-457 KAB11050/3201A-0 Used
      AMAT Applied Materials 0190-00371 DeviceNet Digital I/O Rev. 003 PCB Card Used
      Opal 30612470000 Column Power Supply Unit CPSU AMAT SemVision cX Used Working
      AE Advanced Energy 2300660-B Apex Secondary Motherboard V3B Used Working
      Intraplex Inc. 9547-1827-1 Data Module DS-965/DA-191A Rev. AC New
      Pall T7100080300 Housing Filter CMP Capsule T46141-36 Lot of 14 New
      Saes Getters UHP504C606-10 In-Line Manual Valve Reseller Lot of 4 Used Working
      ProSys Product Systems MicroPulse Controller Used Working
      Hepa (9.125)(22.5)22.5EIIDG7.5E-S Hepa-Pleat II Filter new
      AMAT Applied Materials 0100-90870 4 Phase Stepper Controller PCB Card Rev D Used
      Siemens 6ES7 432-IHF00-0AB0 Analog Output Module used working OEM Boxed
      AMAT Applied Materials 0100-90724 Guiding Tube PCB Card XR80 Used Working
      Siemens Analog Output Module 6ES7 432-IHF00-0AB0 Used Working
      Prometriz 36-0276 Door Interconnect Board PCB Rev. A Used Working
      TEL Tokyo Electron 208-500283-3 External RAM Board PCB Used Working
      Balzers BG 442 310-T/F Signal Interface Board Used Working
      AMAT Applied Materials 0200-30073 Ring Assembly New
      Blacoh H3120TF-TC Pulsation Dampener New Surplus
      TEL Tokyo Electron CR-125 Full Wafer Holder Housing D127110 Rev.B new
      Moxa DE-303 16 Port Server A0JCC0914444 Revision 1.3C Used Working
      Yaskawa CLSR-A504-N2SB Linear Motor Controller Nikon 4S061-666-1 NSR-S202A Used
      Parker Model 4082 Laser Stage Positioning System Used Working
      Hine Design 04290-201 Process Chamber Elevator Gasonics 94-1118 As-Is
      TEL Tokyo Electron 1D10-101475-11 Baffle Plate UNITY-II DRM 200mm ACECO S33-1040
      WAFER HOLDINGS INC 1076401.1000000001 Seal Labyrinth Front
      ASML 8541806001 3 Inch Mirror UVHR 200mm Stepper Used Working
      MOELLER D-NZM 10 Mechanical Rotary handle Circuit Breaker
      Entegris DE-18604 / DE-18661 / DE-18603 Carrier Baffle 3C. Duf(x1) SUPERSiC New
      Celerity UNIT 8161C Mass Flow Controller MFC H2 200 SCCM ASM 54-125825A04
      KLA-Tencor 0051060-001 Rev. AA Dual UI BD PCB 0039856 AIT UV Used Working
      RKC Instrument 2L80-001578-V1 Control Box RCB-43-10-3/1 Used Working
      ASM Line Vacuum Exhaust 1088-726-01
      Maxtek TM350 Thickness Monitor 681.20000 Used Working
      MKS Instruments 651CD2S1N Throttle Valve Controller 600 Series Used Working
      Nikon 4S013-363 Interface Control Board PCB BLECX4 NSR-S205C Used Working
      Nidec EN-8ZT2 Scroll Pump Motor Oerlikon SC30D 0 Hours Used Tested Working
      Roger K. Sherman Company 12565 Valley Precision Calibration Standard Used
      Mahr Perthen 6820101 Metrology Calibration Standard Gage PEN-10-1 Used Working
      DRC Dynamics Research C40012 Optical Encoder PCB Lam 810-550092-001 New
      Lam Research 715-011912-083 8.3" Upper Baffle Plate New
      Lam Research 810-17048-001 Heater Control PCB Booard 810-01704R001 New
      AMAT Applied Materials 0200-09084 Quartz Shield 125mm New Surplus
      Olympus NeoSPlan 50 NIC 0.70 ∞/0 f=180 IC 50 Objective KLA CRS-3000 Used Working
      Olympus NeoSPlan 5 NIC 0.13 ∞/- f=180 IC 5 Objective KLA-Tencor CRS-3000 Used
      Olympus NeoSPlan 20 NIC 0.40 ∞/0 f=180 IC 20 Objective KLA CRS-3000 Used Working
      MKS Instruments FRCA-25761 Delta Flow Ratio Controller 2000 SCCM N2 New Surplus
      SMC LG-96A-A1 Linear Actuator 103H7851-70E1 TEL Tokyo Electron Lithius Used
      Edwards Vibration Isolator Platform STP Turbomolecular Pump Used Working
      Pfeiffer Balzers PM C01 502 Pump Station Control Unit TCS 303 Lot of 5 As-Is
      Edwards A52844460 Vacuum System im Interface Module New Surplus
      Edwards A52844463 Vacuum System im Interface Module New Surplus
      Edwards U20000924 iNIM Network Interface D37310000 New Surplus
      Edwards U20001107P Eason Control Box Alarm Enclosure NRY0TN101US New Surplus
      FEI 4022.192.70094 Circuit Board HRDS 7009 FEI XL-830 Used Working
      FEI Company 4022.192.91281 Processor PCB Card MRSF 1 Used Working
      FEI 4022.192.71511 Circuit Board QDCR 7151 2 FEI XL-830 Used Working
      FEI 4022.192.71072 Circuit Board PLCB FEI XL-830 Used Working
      Laselco Pacific A/M 05-88017-00C Power Transformer 2200668 Used Working
      FEI 4022.192.72182 Circuit Board PCB Card LNSA FEI XL-830 Used Working
      FEI 4022.192.72192 Circuit Board PCB MDLN FEI XL-830 Used Working
      FEI 4022.192.72181 Circuit Board LNSA N2 FEI XL-830 Used Working
      FEI 18240 Ion Beam Analog Interface Assembly PCB IBOD FEI XL-830 Used Working
      Oerlikon USA 102105235 Unaxis Fixload Control Board PCB New Surplus
      A-B Allen-Bradley 1770-SB Data Cartridge Recorder STR LNK III 230-0000-02 Used
      Electronic Processors 207-0020-01 Data Cassette Recorder STR-LNK IIA Used
      Princeton RTE-CCD-1300-Y/HS Microscope Camera with Lens JEOL JEM-2010F TEM Used
      Trace Analytical RGA3 Reduction Gas Analyzer H2 CO Used Working
      SVG 99-39988-01 90S Sensor Board Assembly Rev. K 99-80207-02 99-80315-01 Used
      Sieger Limited 05700-A-0652 Gas Detection Module RAD-10 working
      Power-One MAP110-4200C Power Supplies Lot of Two Used Working
      Hitachi Stage Control, Control Panel No Keys S-9380 Used Working
      Hitachi EVAC Control Panel No Keys S-9380 Used Working
      SVG 90S Sensor Board Assembly 99-80207-02 Rev. E 99-80315-01 Used Working
      SVG Silicon Valley Group 99-80269-01 Shuttle Interface Board PCB Rev. J 90S Used
      SVG Silicon Valley Group 99-80269-01 Shuttle Interface Board PCB Rev. G 90S Used
      Seiko Seiki P005Y008Z831-3S1 H600 Control PCB Card SCU-H1000C Used Working
      ASM Mini Exhaust Hood with Hepa Filter
      Seiko Seiki P019Y---Z801-3M1 H600 Control PCB Card SCU-H1000C Used Working
      Dayton 2Z668B Electric Wire Rope Hoist 500 Pound 10 Foot Used Tested Working
      Seiko Seiki P019Y---Z811-3M2 H600 Control PCB Card SCU-H1000C Used Working
      Nikon Air Sampling Regulator Assembly Nikon NSR-S204B Step-and-Repeat Used 
      Kuroda UV-510 Uniwire VME Interface Board PCB PB-0792-A IG6 Used Working
      Rasco Prism Purge SOW Blower Assembly Used Working
      SVG Silicon Valley Group 99-80207-02 Spin Station Expansion PCB Used Working
      Dagano Keiki Digital GC84-1D9 Lot of 38 Kokusai DD-1203V Used Working
      Ametek 80440SE Sensor and Display Module PCB Card Thermox Series 2000 Used
      Cosel K25A-12-N Power Supply P15E-15-N K25A-12 Lot of 24 Used Working
      Kokusai Electric T2DD7-18040 (Gate-Ox) Control Panel CX3-GPLON Used Working
      Kokusai Electric CX3-GPLON BTBAS Control Module T2DC6-12220 Used Working
      SquareD Molded Case Circuit Breaker 20A 25A 40A 240V~250V Lot of 7 Used
      VAT 26332-KA11-1001 Right Angle Isolation Valve Lot of 9 Used Working
      Signatone S-725-SLV Micropositioner Used Working
      TEL Tokyo Electron 3D81-000095-V1 Circuit Board TEL T-3044SS Etcher Used Working
      Panasonic MSMA08252N AC Servo Motor Y AXIS CRA Assembly TEL Lithius Used
      PRI Automation BM23475L14RH PCB Board PC23475 Used Working
      TEL Tokyo Electron A125757 PCB Control CPU Assembly New
      PRI Automation BM23475L11 PCB Board PC23475 Used Working
      Nikon PSM-11520 Optical Fiber Light Source with Cable Used Working
      ETEL DSB2P123-111E-000H Digital Servo Amplifier DSB2 Used Working
      STEC SEC-2510-UC-0008 Mass Flow Controller MFC SEC-500 300 SCCM TEOS Refurbished
      Metron Technology A1268098 Bell Jar Adapter Assembly New
      Lam Research 716-021483-001 ISO Etch Bell Jar Base ASM 4085052-0001 Refurbished
      KLA-Tencor 070-032761-00 Power Supply KLA SL 300 URSA Used Working
      Varian 919-05705001 Ion Pump Diode S-9300 CD Scanning Electron Microscope Used
      Screen PC-97040A PC-97013B PC-97019 Terminal SM Unit DNS FC-3000 Used Working
      Hitachi Metals SAM U18SVS-2H-0106-F40F40-G P-768 0~0.6 MPa Lot of 9 Used Working
      ASML 4022.436.7211 RSB Module SENS TOP.BOX AS 4022,436.7155 Used Working
      Amray 91171-1-1 VME N4/Proto PCB 800-2250-1-1 Rev. E1 Used Working
      Malema Sensors IFC-7300-001 Distribution Box Used Working
      Sanyo Denki P50B04005JCP00 AC Servo Motor Sanmotion P Used Working
      Texas Microsystems P54C Greyhound 2 CPU Board PCB 902-F 21487A Used Working
      Nikon 4S013-187 Interface Board PCB LIB-I/F NSR System Used Working
      Nikon 36020133 EX-Interlock ASK Interface Board PCB NSR System Used Working
      Varian Semiconductor Equipment E17103280 Electrode New
      Asyst Technologies 3000-1145-01 Interface Amplifier Board PCB Used Working
      Jennings CVCE-250-6N833 Variable RF Capacitor Assembly 919-0278-010 Used Working
      Ultratech Stepper 01-18-01140 Light Source Assembly Fiber-Lite A-241L 2244i Used
      Lowara 3SV08T007 B/S Vertical Pump E26001122 Used Working
      Anelva H11-10811 A/D Analog to Digital Converter SM-10 PCB Assembly Rev. 01 Used
      SUNX Sensors LA-AI Beam Sensor Amplifier Lot of 3 Used Working
      Nikon CF Plan Apo 150x/0.90 Microscope Objective OPTISTATION 7 Used Working
      Daifuku CEL-M10A Industrial Computer PC Drive MEDA0055601A Untested As-Is
      Nikon 4S019-162 Interface Board PCB PPD3T-MTH NSR-S307E Used Working
      Nikon 4S013-413 Interface Board PCB SPRLNK NSR-S307E Used Working
      RECIF SA MOBJH0131D Motherboard PCB CPUCH0027 DNS FC-3000 Used Working
      Nikon 4S013-494 Circuit Board PCB PWR_MTH3 NSR-S307E Used Working
      Honda W-357-1MQB-NS Ultra Sonic Cleaner Controller Pulse Jet Used Working
      TDK TRM021GB DC Power Supply Reseller Lot of 27 Used Tested Working
      HC Power 10A0015-077 MOSFET Switcher Power Supply HC40-C1097 6800146070 Used
      AMAT Applied Materials 9090-00859ITL 24VDC Power Supply Used Working
      Devicenet 10150721 10’ Cables Lot of 13 used working
      Patlite STPB-T Assorted Signal Towers LME-TFBL & LE-FBP Lot of 13 Used Working
      Nikon 36020133 Interface Board PCB EXT-INTLOCK ASK NSR Series Used Working
      Semitool Bimba Air Cylinder D-63536-A-2 Lot of 13 Used Working
      ASML 4022.470.5381 Control Module LICB ASML Used Working
      TEL Tokyo Electron OYDK-064 Circuit Board PCB RY EXT CHEM #02 Used Working
      TEL Tokyo Electron 1B80-002389-11 PCB DI80D080 DN BOARD Assembly Used Working
      TEL Tokyo Electron OYDK-063 Circuit Board ADH CHEM #02 Used Working
      TEL Tokyo Electron OYDK-020 PCB CNTR EXT CHEM #01 MDK-TM94V-0 Used Working
      SVG Silicon Valley Group 99-80269-01 Shuttle Interface Board PCB 90S Used
      Ebara 217407 Vacuum Pump EMO Emergency Off Switch Controller
      JEL JUSUNG  Bell Jar Elevator Eureka 2000
      Oriental Motor UDX5128 Super Vexta 5 Phase Driver
      DANAHER MOTION 9032 0121 35 Motor Controller
      Lam Research 853-054148-001 ASSY, Liquid Pump, 100ml
      INTEGRATED DYNAMICS ENGINEERING TC Active Isolation System
      Nikon 4S018-650 Board PCB NSR-S204B Used Working
      Nikon 4S007-902-1 Interface Board PCB X2A-STGA/D NSR-S204B Used Working
      Hitachi S8PS-05012CD Power Supply 12V 4.2A S-9300 SEM Used Working
      AMAT Applied Materials 0021-19207 300mm Disk Shutter Endura New
      AMAT Applied Materials 0021-16287 300mm Lower Shield Endura Refurbished
      A.C.T. Kern LI 1503N-CNDV 15" Display Monitor Unaxis Corona Used Working
      GNB CORPORATION AN165P0-X Valve Angle Halfgate
      AMAT Applied Materials 0620-01715 Cable ASSY L5-15P IEC320-C13 14/3 SJT 25'
      Yaskawa Electric USAHEM-01DS22 AC Servo Motor
      ASM 02-330558C01 ASSEMBLY-HCL REMOTE SENSOR
      Dolan-Jenner A-241P-RS-232 Fiber-Lite 150 Watt Regulated Power Supply KLA-Tencor
      ASM 45-107147A32 MONITOR-18IN LCD PNL
      ASM 16-191494D01 VALVE-RUPTURE 5 BAR 1/4 VCR
      Entegris Pressure Transducer 41501-110G-F04-B12-A-S3
      Dolan-Jenner A-241P Fiber-Lite 150 Watt Regulated Power Supply KLA Used Working
      TDK PSK-144B MAIN Interface PCB Card Assembly PSK145A PANEL Used Working
      Advantest BLD-024486 Processor Board PCB Card PLD-424486CC FW: 007430A 01 Used
      Advantest BLD-024486 Processor Board PCB Card PLD-424486CC FW: 007430A 00 Used
      ASTeX 34-0153-00 X126 RS232 Remote Control Board PCB Card Used Working
      Advantest BLD-024486 Processor Board PCB Card PLD-624486BB FW: 007171A 00 Used
      TEL Tokyo Electron HTE-IFA-A-11 IFB Add On Board PCB TAB1300 Lithius Used
      Advantest BLD-024486 Processor Board PCB Card PLD-424486CC FW: 007171A 00 Used
      Advantest BGD-022241 Processor PCB Card PGD-622241BB 22 Used Working
      KLA Instruments 740-658986-00 Pneumatic Vibration Isolator Set of 4 2132 Used
      Mitsubishi MR-J2S-350B-ED087 AC Servo MELSERVO TEL PR300Z Copper Exposed Used
      OnTrak Systems 22-8875-005 Quad Micro Stepper PCB Card Used Working
      Tokyo Keiso UCUF-06B/ZT Pump Assembly Reseller Lot of 30 TEL Lithius Used
      OnTrak Systems 28-8875-004 Dual DC Motor Board PCB Card Used Working
      Tokyo Keiso UCUF-04B/ZT Pump Assembly Reseller Lot of 30 TEL Lithius Used
      RFPP RF Power Products 7621993010 RF Match Lam Research 660-095275-002 Used
      Hitachi Ion Pump Power Supply Hitachi S-9380 SEM Used Working
      Bio-Rad Y5301266 AIMS DC Servo Board PCB Card Y5301267 Quaestor Q5 Used Working
      Lam Research 715-051364-002 T.G. Coupler Adapter New
      Hitachi EC1 Controller Module Etch Chamber 1 IOTU-OIN M-712E Trench Etcher Used
      Lam Research 810-057032-001 DC Servo Driver PCB Card 810-57032-001 Used Working
      VAT 07512-VA24-AAZ1 Pneumatic Slit Valve KLA-Tencor eS20XP E-Beam Used Working
      KLA-Tencor 710-606238-00 Ramp Generator Daughter Board Negative eS20XP Used
      KLA-Tencor 740-613212-000 Video Front End 2 eS20XP E-Beam Used Working
      Hitachi RYX-2 Time Delay Relay PCB Board M-511E Plasma Etcher Used Working
      Schlumberger Technologies 9615211 Clock Buffer CNB PCB Used Working
      Aichi Tokei Denkil ND20-PATAAC-4 Flow Meter Lot of 6 Used Working
      Bio-Rad Y5304601 Video Controller PCB Card Questor Q5 Used Working
      Hitachi EC2 Controller Module Etch Chamber 2 IOTU-01N M-712E Trench Etcher Used
      KLA-Tencor DC Module Power Supply CRS-3000 Confocal Review Station Used Working
      KLA-Tencor 750-613674-000 X-Axis Driver PCB Card eS20XP E-Beam Used Working
      KLA-Tencor 740-617415-001 High Voltage Relay Box II eS20XP E-Beam Used Working
      KLA-Tencor 710-606238-005 Ramp Generator Daughter Board Negative eS20XP Used
      Lam Research 713-028318-001 Ceramic Ring 713-28318-1 Used Working
      Computer Recognition Systems 10365 Quad Ram Board PCB 8805DB794 Quaestor Q5 Used
      Lam Research 716-460953-001 Focus Ring 8" Jeida INR COV CMPST New
      Hitachi MCCB51 ELB Unit M-511E Etcher Used Working
      Ultrapointe 001019AD LON I/O Expander Board PCB 00045 KLA-Tencor CRS-3000 Used
      KLA Instruments 710-608020-01 PCB Board KLA-Tencor eS20XP E-Beam Used Working
      KLA-Tencor Circuit Breaker/Power Supply Assembly eS20XP E-Beam Used Working
      Schlumberger 97847513 High Voltage Control PCB IDS 10000 Used Working
      Agilent 10780F Remote Receiver and Cables Lot of 5 Nikon NSR-S204B Used Working
      Bio-Rad Y5304800P RATS Interface Board PCB Card Y5304803 Quaestor Q8 Used
      Lam Research 716-011651-006 6" Wafer Clamp Edge Ring New
      Ultrapointe 000276 Spectrometer PMT Preamp Assembly KLA-Tencor CRS-3000 Used
      KLA Instruments 710-609108-001 Stepper Controller KLA-Tencor eS20XP Used Working
      KLA-Tencor 750-613674-000 Y-Axis Driver PCB Card eS20XP E-Beam Used Working
      KLA-Tencor 710-606237-004 Ramp Generator Daughter Board Positive eS20XP Used
      Znyx Networks ZX5000-X3 16-Port AdvancedTCA Base Fabric Switch Used
      Hitachi 569-5567 System Control PCB COL-CN2 S-9380 SEM Used Working
      Nikon 4S017-947 NSR System Control PCB TEC-2VS Used Working
      Nikon 4S017-909 NSR System Control PCB WXYDRV2 Used Working
      Nikon 4S017-647 NSR System Control PCB AIRCTRL Used Working
      SVG RA2011-16 Oven Temperature Controller Used Working
      Hitachi 564-5531 Control PCB S-9380 Used Working
      Lambda EWS300P-12 DC Power Supply Lot of 3 Used Working
      AMAT Applied Materials 0100-91043 Spin Control PCB Card XR80 Used Working
      Edwards DW050 Quartz Collar Shield Refurbished
      AMAT Applied Materials 0200-10044-P1 Quartz Cover 125mm New Surplus
      AMAT Applied Materials 0200-09092 Graphite Ring 125mm Rev. C New Surplus
      Leitz Wetzlar 567012 Wetzlar Objective PL Fluotar 20x/0.45 Used Working
      Kokusai Electric CQ1400A(01) Accuron CQ-1400A Digital Direct Controller Set Used
      AMAT Applied Materials 0100-01455 Spin/Scan Interlock PCB Card XR80 Used
      Schurter FMAD-0937-8010 Power Line Filter New
      Daifuku KBD-2514A-1 Interface Board PCB A2514A11 New Surplus
      Inficon 15923 Capacitance Diaphragm Gauge SKY CR090 New Surplus
      Oriental Motor A4484-9215KPGM 5-Phase Stepping Motor Vexta New Surplus
      Daifuku COV-3420B Power Distribution Board PCB New Surplus
      AMAT Applied Materials 0020-25077 8" Lower Shield Endura 5500 New
      Semitool 16812B Interface Board 16812-01 UEI476699 New
      AMAT Applied Materials 0100-00504 Vacuum Control Feedback PCB Card Used Working
      AMAT Applied Materials 0100-90861 Spin Scan Detector PCB Card Issue A XR80 Used
      AMAT Applied Materials 0200-09092 Graphite Ring 125mm Rev. B New Surplus
      Edwards U20000521 Vacuum iM Interface Module Smart TIM/AIM Used Working
      EDCO Technologies M356600122 SBC Single Board Computer PCB Card AMAT New
      AMAT Applied Materials 0100-91006 Spin Control PCB Card XR80 Used Working
      TEL Tokyo Electron 1D81-000133 ILK Control Card PCB TYB131-1/ILK Used Working
      Metron D131219 SST 200mm Filler Ring TEL Tokyo Electron MRC Used Working
      MVP 015-2 Pfeiffer Vacuum PK T05 100 Dry Vacuum Pump Tested Not Working As-Is
      Semitool A72-40MB-9826-5AD 100mm SRD Rotor H-BAR-OUT A72-40MB Verteq Used
      Rudolph Technologies A23516BRT0423 Load Port Switch Module Used Working
      DIP Incorporated EH0111(C)-10C Power Supply PCB EH0111 DB-D56-101E Used Working
      Semitool A72-40MB-9826-5R 100mm SRD Spin Rinse Dryer Rotor A72-40MB Verteq Used
      Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working
      Kokusai CB100A SECS Interface with GPNET OPT-23S Fiber Optic Modem Used Working
      DIP Incorporated EH0111(C)-12C Power Supply PCB EH0111 DB-D56-101E Used Working
      Cymer 06-05200-00A Interface Board PCB 06-05201-00 ELS-6400 Used Working
      Pixelink PX18HB1-L6-XAXC 18" LCD System Display SVG 879-8179-002 Used Working
      DIP Incorporated EH0111(D)-12C Power Supply PCB EH0111 DB-D56-101E Used Working
      Nikon BD Plan 5 Microscope Objective Lens 0.1 210/0 Used Working
      Semitool A72-40MB-9826-5W 100mm SRD Rotor H-BAR-OUT A72-40MB Verteq Used Working
      Sumitomo CNFM1-4095-11 Induction Gearmotor with FA-Coder 48-2500P4-L6-5V Used
      Schlumberger Technologies 97151214 SCM_ST Rev. 5 PCB Card 40151214 Used Working
      Schlumberger Technologies 799000302 STDCITF2 Rev. 3 PCB Card 499000302 Used
      Schlumberger Technologies 97151212 SCP_ST PCB Card 40151212 Used Working
      Schlumberger Technologies 97151217 APG_ST PCB Card Rev. 1 40151217 Used Working
      Nikon 4S019-029-1 Prealigner 1 Interface Board PRE1_I/F PCB Used Working
      Pentagon Technologies PT-0943-0186 Lower Shield New
      Schlumberger Technologies 799000302 STDCITF2 Rev. 4 PCB Card 499000302 Used
      Schlumberger Technologies 799000120 STSOM Rev. 2 PCB Card 499000120 Used Working
      Schlumberger Technologies 27151215 STADM ADM_ST PCB Card 96151215 Used Working
      Nikon 4S018-776 Y Axis Interface Board Y_I/F PCB Used Working
      Pentagon Technologies PT-0943-0187 A/S Upper Shield New
      Schlumberger Technologies 97151211 CNB CNB_ST PCB Card 40151211 Used Working
      Schlumberger Technologies 97151217 APG_ST PCB Card Rev. 4 40151217 Used Working
      Schlumberger Technologies 799000320 STPWMHC Rev. 5 PCB Card 499000320 Used
      Schlumberger Technologies 97151214 SCM_ST Rev. 4 PCB Card 40151214 Used Working
      H-Square MTM8 200mm Automatic Horizontal Wafer Transfer System Used Working
      KLA-Tencor 760-063145-00 Noncontact Measuring System Kaman SMU 9000-15N Used
      Schlumberger Technologies 799050101 STPS PCB Card 499000101 Used Working
      Schlumberger Technologies 799000310 STLCDPS PCB Card 499000310 Used Working
      Schlumberger Technologies 799000110 STADM ADM_ST PCB Card 799080015 Used Working
      Schlumberger Technologies 799000320 STPWMHC Rev. 4 PCB Card 499000320 Used
      Schlumberger Technologies 799000320 STPWMHC Rev. O5 PCB Card 499000320 Used
      Nikon 4S018-775-1 X Axis Interface Board X_I/F PCB Used Working
      MRC Materials Research A11011 Line Voltage Junction Box Eclipse Star Used
      MRC Materials Research A119909 RF Module Rev. E Eclipse Star TEL Used Working
      MKS Instruments Type 286 Dual Thermocouple Vacuum TC Gauge Controller Used
      Miki Pulley BXH-12-10-A-20 Pulley Z Brake TEL 5085-407039-11 PRA Lithius Used
      Nikon 4SO2O-179 NSR System Control PCB EXPCNTL Used Working
      Nikon 4S014-073-1 NSR System Control PCB LC-CTL2 Used Working
      Therma-Wave 18-007478 Sensor Module Rev. D Opti-Probe 2600B Used Working
      Nikon OPTISTATION 3 Binocular Eyepiece Microscope Long Assembly CFWN 10x/20 Used
      Therma-Wave 18-009252 Sensor Module Rev. A Opti-Probe 2600B Used Working
      Lam Research 810-017004-001 Solenoid Interlock Board Rev. E Used Working
      Asyst 03365-008 200mm Wafer Indexer Lift with Loader Nikon OPTISTATION 3 Used
      Brooks Automation 001-4808-12 Gas Module Genus 7000 Used Working
      KLA-Tencor U00874 Computer Station FabVARS Used Working
      Ultratech 03-15-05105 Stepper Robot I/O PCB Used Working
      Pentagon Technologies D129805 BSM Ring Tab MRC Eclipse Star Copper New
      Hitachi 3-833717 Base Ring 200mm Reseller Lot of 3 New Surplus
      Hitachi 1-807879 PCB AI16-2 New
      NPS21 FS-10S Flow Sensor Manifold Type FS-10 Hitachi 3-851916 New
      Copley Controls STP-075-07 Stepper Motor Drive Stepnet Used Working
      DIP Incorporated EH0111(D)-10C Power Supply PCB EH0111 DB-D56-101E Used Working
      AMAT Applied Materials 8030R2-H-LM-APPLIEDMATERIAL Teach Pendant Quantum Used
      AMAT Applied Materials 0100-00360 H.V/A.MAG Motherboard Backplane PCB Used
      V-Tex IRF-03055-2-01 Pneumatic Slit Valve Rollcam NW50 Used Working
      AMAT Applied Materials 12-406247-03 Interface Board PCB 0100-00454 Used Working
       AMAT Applied Materials 0110-00272 EMI A. Magnet PSU Interface Card Issue A Used
       TEL Tokyo Electron Water Leak Box Omron K7L-AT50 Used Working
      Nikon 4S013-499 Interface Board PCB RSTG-FLM Nikon NSR System Used Working
      DNS Dainippon Screen 5F386558 Arm Plate A5052P New Surplus
      SoftSwitching DS10025A208V1SH1000C Dynamic Sag Corrector MINIDySC Used Working
      Lambda PDC60-300 Power Supply Card PCB HAL-02-1474 AMAT 0190-07661 Used Working 
      B&R Automation 80VD100PD.C022-14 Servo Drive ACOPOS micro 100D New Surplus
      Nitta PNP-08AA90566 Pneumatic Manifold Tank PNP-F100A-AL3C-T New Surplus
      SBS Technologies AMAT 0190-07848 Processor Card PCB CPCI-100-BP-S00002B Used
      AMAT Applied Materials 0100-01546 Source Magnet Control PCB Card Issue A Used
      Komatsu Electronics 30025500 KE-2014-1 Power Supply Board PCB Used Working
      Electroglas 258158-001 TC2000 Controller IV PCB Card 200mm 4085X Horizon Used
      MKS Instruments 622A12TAE Baratron Capacitance Manometer Used Working
      MRC Materials Research 884-55-000 Stepper I/F Board PCB Rev. H Eclipse Star Used
      Sumitomo RNYX-1420-AG-30 Hyponic Drive and Gear Shaft Hirata HQPLP-2DHP Used
      Tencor Instruments 294420 Motor Distribution S8000 PCB Board Rev. B KLA Used
      Ultrapointe 001019AD Lon I/O Expander Board PCB 001020T KLA-Tencor CRS-3000 Used
      MKS Instruments Type 127 Baratron Pressure Transducer Lot of 2 Used Working
      MRC Materials Research 884-55-000 Stepper I/F Board PCB Rev. F Eclipse Star Used
      MRC Materials Research 884-55-000 Stepper I/F Board PCB Rev. K Eclipse Star Used
      Jenny Science Sv 50V4-DC Servo Controller Set with Minimotor 22/2K 54.6:1 Used
      Verteq MC-024-03 Frequency Generator Sunburst Megasonic Cleaner Used Working
      Nikon 4S013-498 Interface Board PCB WSTG-FLM NSR-S307E 300mm Used Working
      Lufran 978PA Heater T.C.O. Power Supply Used Working
      MKS Instruments 622A13TBE Baratron Pressure Transducer Used Tested Working
      Verteq MP-005-02 Process Controller Sunburst Megasonic Cleaner Used Working
      Yaskawa SGMAH-04AAA4C AC Servo Motor Used Working
      Lufran SBC-J-208-DS Flow Sparger Control SLC-3-DS Used Working
      A-B Allen-Bradley 9101-2323 Servo Drive Ultra Series 1398-DDM-009 Used Working
      MKS Instruments 622A12TBE Baratron Transducer 100 Torr Used Tested Working
      Carl Zeiss 45 28 70 Reflector Slide Microscope Objective 452870 Used Working
      Verteq Level/Pressure Sensor Sunburst Cleaner Used Working
      KLA-Tencor 60-500455-006 Circuit Board PCB 327484 KLA AIT Used Working
      Omron CS1G-CPU43H PLC Programmable Controller Assembly SYSMAC Used Working
      Diavac Limited LCAV-25H Pneumatic Angle Valve LCAVB-25H Lot of 6 Used Working
      ZX370 Zynx Circuit Board 700-0102-001 PC0052-01 KLA AIT Used Working
      Lam Research 810-17012-001 Heartbeat PCB Board Rev. F 4420 Used Working
      Asyst 03365-005 200mm Wafer Indexer Nikon OPTISTATION 3 Used Working
      View Engineering 2870030-501 Interconnect Sub-Panel PCB 8100 Lot of 3 Used
      Therma-Wave 18-009252 Sensor Optics Module Rev. B Opti-Probe 2600B Used Working
      AMAT Applied Materials 0100-00505 Vacuum Control Feedback PCB Card Used Working
      Nikon BD PlanApo 150/0.9 Microscope Objective 210/0 OPTISTATION 3 Used Working
      Nikon 4S018-568-A Processor Board PCB WL3PNL4 NSR Series Used Working
      TEL Tokyo Electron FA1006K501A PCB-CTRL Board P-8 Wafer Prober Used Working
      Nikon 4S007-855 Interface Board PCB WL3CR NSR-S204B Step-and-Repeat Used
      Schlumberger 3064519-000 Board Used Working
      AMAT Applied Materials 0090-91229 Cryo Pump Auto N2 Purge Module Used Working
      ASM 93000-05226 MOUNTABLE CONTROLLER
      Hitachi 545-5515 Power Supply PCB Assembly DC PS1 S-9300 Used Working
      FEI Company 4035 272 26561 GRID HVPS Power Supply PCB Card CLM-3D Used Working
      FEI Company 4035 272 75251 GAIN HVPS Power Supply PCB Card CLM-3D Used Working
      TMC Vibration Control 651952401 Micro-g Gimbal Piston Isolators Set of 3 Used
      Asyst Technologies ABX-66242-09-00 48V Control Board PCB 06763-005 Used Working
      FEI Company 4035 272 25001 FDCPS Power Supply PCB Card CLM-3D Used Working
      FEI Company 4035 272 26551 BIAS HVPS Power Supply PCB Card CLM-3D Used Working
      Nikon 4S008-005-A Interface Control Board PCB STGX23A NSR-S204B System Used
      Thermalogic 718-562 Fluid Temperature Station Board PCB SVG 121-188 Used 
      Nova Measuring Instruments 210-40572-01 Main Center Board PCB Used Working
      DNS Dainippon Screen PC-02032C-0123 SIF Interface VMEbus Card PCB SIF-A Used
      MKS Instruments 625A13TBE Baratron Pressure Transducer Used Tested Working
      ASM 03-186671D Combined Foxboro Interface Board PCB Epsilon 3000 Used Working
      ASM 03-320142D03 EV Interface Pneumatic Block PCB Assembly ASM Epsilon 3000 Used
      ASM 03-320142D01 EV Interface Pneumatic Block PCB Assembly ASM Epsilon 3000 Used
      Celerity FC-2979MEP5-WM Mass Flow Controller 200 SCCM NF3 TN2979 Refurbished
      Leica 036-085.021 Microscope Motor Assembly WF710-34711-DD Orbot WF 736 Used
      Square D MHL368001212 3-Pole Circuit Breaker MH 800 A Used Working
      MKS Instruments 627B-15968 Baratron Capacitance Transducer Used Working
      Agilient Z4207-60003 Circuit Board Z4207 NC1 used working
      Semifusion 170 Auto Photo Controller PCB Card Ultratech UltraStep 1000 Used
      Ultratech Stepper 2201-000001 Supershutter Interface UltraStep 1000 Used Working
      AMAT Applied Materials 710-20081-DD Microscope PCB Assembly Orbot WF 736 Used
      Granite Microsystems ZNUGR-22375 Compact Computer ASM Epsilon 3000 Used Working
      MKS Instruments 622A12TBE Baratron Pressure Transducer 100 Torr Used Working
      Agilent Technologies Z4207-20006 Interface Board PCB Card Z4207 NC4 Used Working
      Tazmo E0R05-9538 Driver Process PCB Board 810286311 ASM 510020201 Used Working
      Edwards C37360330 Micro TIM Tool Interface TEL New Surplus
      KLA-Tencor Proximity Sensor Assembly 730-404397-00 Turck BC5-S18AP4X 5107 Used
      Nemic-Lambda YSK1500-22X4 Power Supply Used Working
      Foxboro K0143AAAN PCB Board K0143AC-F ASM Epsilon 3000 Used Working
      Agilent Z4207-60005 PCB Z4207 NC2 Used Working
      Agilent Technologies Z4207-20006 Interface Board PCB Card Z4207 NC3 Used Working
      Semifusion 120 32 Bit Interface PCB Card Ultratech Stepper UltraStep 1000 Used
      KLA-Tencor 740-450321-00 Wafer Transport VFU Assembly 5107 Used Working
      ASM 03-188639D01 EV Interface Pneumatic Block PCB Assembly ASM Epsilon 3000 Used
      Electro-Craft 9101-1592 Servo Drive Advantage Line DDM-009 Used Working
      Hine Design Right Wafer Indexer GaSonics Aura 2000-LL Used Working
      TEL Tokyo Electron Right Photoresist Tank ACT12 As-Is
      Yaskawa CIMR-J7AA25P5 Drive Controller VS Mini J7 Used Working
      Hine Design Left Wafer Indexer Gasonics Aura 2000-LL Used Working
      TEL Tokyo Electron Left Small Photoresist Tank ACT12 As-Is
      Bio-Rad Y5304803 RATS Interface Board PCB Card Quaestor Q7 Used Working
      Bio-Rad 8805EC923 Quad Ram Board Computer Recognition 10365  Quaestor Q7 Working
      Computer Recognition Systems 8843CR475 Edge Detector PCB Rev. G Quaestor Q7 Used
      AMAT Applied Materials 0020-A0048 0020-A0138 Centering Jig Kit Kaganei GS510 New
      Melles Griot Series 300 Diode Laser and Driver Used Working
      HVA High Vacuum Apparatus 22211-0210 Pneumatic Slit Valve Used Working
      Varian E17175160 Graphite Entrance Liner New Surplus
      Metron Tech 885-24-000 TAZ Eclipse Digital Interface PCB Board Used Working
      SVG Silicon Valley Group 68-20170-908 Inflatable Bladder Filter New Surplus
      Nikon 4S586-952-1 Switch Box WY_LSW Used Working
      TEL Tokyo Electron HTE-PUM-A-11 Pump Add On Board TAB1200 Clean Track ACT12 Used
      FEI Company 4035 285 53481 General I/O Module with DeviceNet CLM-3D Used Working
      Princeton Instruments ST-133 Camera Controller MicroMax JEOL JEM-2010F Used
      JEOL 10x Binocular Microscope Assembly JEM-2010F TEM Microscopy System Used
      Advantest A021105B Processor Board PCB BLD-024487 Used Working
      ASM Advanced Semiconductor Materials 91310-68038 Slit Valve Seal Kit New
      FEI Company 4035 272 14661 PIM Pneumatic Interface PCB Card US11524 CLM-3D Used
      Dynatronix 138-0323-40 FWD REG Board JH Processor Card PCB 190-0323-03 Used Work
      JEOL EM138707 Camera Assembly Matsushita B5HB4 JEM-2010F TEM Used Working
      Panasonic MSMA041A1F AC Servo Motor MSMA041A43 Shimpo Reducer VRKF-9C-400 Used
      Nikon OPTISTATION 3 Binocular Eyepiece Microscope Assembly CFUWN 10x/26.5 Used
      AMAT Applied Materials 710-34041-DD Optics Filter Index Wheel Orbot WF 720 Used
      Leica 036-085.021 Microscope Motor Assembly WF710-34711-DD Orbot WF 720 Used
      Balzers BG 525 473 T 4-Channel Heater DA 101 PCB Card BG 525 424 T Used Working
      Orbot Instruments 710-26332-DD DDS Control PCB Card AMAT WF 736 DUO Used Working
      Nikon BD Plan 60 0.80 Microscope Objective 210/0 OPTISTATION 3 Used Working
      Boser HS-486H Single Board Computer SBC PCB Matrix 10 Style 1104 Used Working
      AMAT Applied Materials 710-34041-DD Mirror Wheel Assembly Orbot WF 720 Used
      Balzers BG 525 460 AT Shutter Position OU 101 PCB Card BG 525 462 BU Used
      Balzers BG 525 473 T Argon Pressure DA 101 PCB Card BG 525 424 T Used Working
      NEC I36-457226-E-05 Motherboard Factory Computer Model 2 FC-9801U Used Working
      Balzers BG 525 460 AT Gas LC OU 101 PCB Card BG 525 462 BU Used
      Balzers BG M70 000 Shutter Control Unit SSC 101 Used Working
      Nikon BD PlanApo 100/0.90 Microscope Objective 210/0 OPTISTATION 3 Used Working
      Nikon BD Plan 100 0.90 Dry Microscope Objective 210/0 OPTISTATION 3 Used Working
      Micro Memory MM6326/8u PCB Card Rev T KLA-Tencor 712-404561-00 5107 Overlay Used
      MKS HPS TEL 03(3398)8932 941 Cold Cathode Gauge & Sensor Hitachi M-511E used
      AMAT Applied Materials 710-20081-DD Microscope PCB Assembly Orbot WF 720 Used
      Schneider Automation 043 506 698 Modicon Micro 110 CPU 311 00 AEG Used Working
      Nikon BD PlanApo 40/0.80 Microscope Objective 210/0 OPTISTATION 3 Used Working
      NEC PC-9801-29N Interface C Bus PCB Card FC-9801U Nikon OPTISTATION 3 Used
      Panasonic MSMA082S2N AC Servo Motor Y AXIS CRA TEL Tokyo Electron Lithius Used
      Lincoln Electric R3R-300 DC Arc Welder Idealarc Used Working
      VMIC 332-000113-427 D VMEbus Processor Card VMIVME 2532A Used Working
      TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Lithius Used Working
      SensArray 50-2030-01 Resistance Calibration Standard RTD Module 1000Ω New
      SensArray 50-2330-02 Resistance Calibration Standard RTD Module 1375Ω New
      Taiyo Machinery NP8148Q030-2 PCB Board 12SCRA Y TEL Tokyo Electron Lithius Used
      Meiden UP003 Power Supply Module PCB Card TEL Tokyo Electron Lithius Used
      Lam Research 810-800082-029 VME Breakout KIY045 PCB 710-800082-029 Used Working
      Lam Research 810-069751-103 Node Board Type 27 PCB 710-069751-002 Used Working
      Lam Research 810-800086-010 DC Controller Assembly PCB 710-800086-010 New
      CKD TPR-03-A100T-X1002 Pressure Control Flow Splitter PARECT Used
      JAE Japan Aviation Electronics UT3-JAG4-L Touch Panel Monitor Used Working
      Schlumberger Technologies 97911437 APGID Y Board PCB Working
      Schlumberger Technologies 97911001 Layer 12 Microstrip 40911001-1 PCB Used
      VMIC 332-006015-000 R VMEbus Processor Card VMIVME 6015 Used Working
      Semitool 14837-01 4-Channel 202 Serial Board PCB Card Used Working
      ASM Advanced Semiconductor Materials 91310-68038 Slit Valve Seal Lot of 6 Used
      AMAT Applied Materials 0010-26750 Slit Valve Door New Surplus
      AMAT Applied Materials 0240-41706 300mm Endura SIP Chamber Prep Kit Lot of 2 New
      Lam Research 853-013610-001-D 4420 Solenoid Tray Assembly Missing PCB As-Is
      AMAT Applied Materials 0242-19106 Standard Blankoff Kit New
      AMAT Applied Materials 0270-03655 300mm Alignment Tool Pin Lift New
      Nikon 4S018-917-1 Y Axis Interface Board Y_I/F PCB Used Working
      AMAT Applied Materials 0240-14676 Nitrogen Gasline 0050-60296 300mm PVD Kit new
      Lam Research 853-013610-001-E-LEAN 4420 Solenoid Tray Assembly Missing PCB As-Is
      AMAT Applied Materials 0242-23140 CL/SL Endura Strain Relief Kit New
      Lam Research 853-013610-501-A-LEAN 4420 Solenoid Tray Assembly Missing PCB As-Is
      Lam Research 853-013610-001-E-4050 4420 Solenoid Tray Assembly Missing PCB As-Is
      Diavac Limited Angle Valve LCAVB-25H LCAVB-25HK LCAV-40HF Lot of 6 Used Working
      TEL Tokyo Electron REX-B860-CS2 TMP 8ch Control PCB Card Unity II Used Working
      Panasonic MBDH153ABD01 Inverter Minas-Hyper Used Working
      Yaskawa Electric DR1-08AC Servo Drive SERVOPACK Assembly TEL Unity II Used
      Therma-Wave 18-015549 Detector Optics Assembly Opti-Probe 2600B Used Working
      Nikon 4S018-888-1 NIIP Video Interface Card NSR-S307E Used Working
      Nikon 4S001-070-01 Power Supply Board PW-NE PCB Card NSR-S202A Used Working
      Toshiba 8VD00236000 SALG Power Source PCB Card PSU-KN3-PWB Nikon 4S001-112 Used
      Nikon 4S008-052-C Processor PCB Board X4-AIS A-554 NSR Series Used Working
      ASM 16-190353D01 SUSCEPTOR 200MM
      ASM 1005-954-01 SUSCEPTOR-200MM-2.25 MICROMETERS
      Lam Research 715-007469-002 Lower Electrode
      Omron C200HS-CPU01 Programmable Logic Controller Assembly PLC SYSMAC Used
      UNIT Instruments UFC-8100 Mass Flow Controller MFC Model 8100 20L H2 New
      AMAT Applied Materials AMP-490-EX-041 CATHODE LINER SUPER E KIT
      Square D 480/208 3KVA 3PH Transformer ASM 47-122930A26
      AMAT Applied Materials 0020-24387 Pedestal Kit
      Ultratech Stepper 03-20-00870 VME Combo PCB Card Rev. B 4700 Titan Used Working
      RFPP RF Power Products 0251-0331-3 RF Cable 24 Foot Alcatel 2460 Used Working 
      Teradyne 829-726-00 Round Circuit Board PCB Used
      TEL Tokyo Electron B31810-329158-11 INSULATOR UPPER
      Sierra Instruments Model 822 Digital Flow Meter Top Trak 90SLM N ASM 49-12530A09
      Ultratech Stepper 03-20-00870 VME Combo PCB Card Rev. B1 4700 Titan Used Working
      Allied Motion Emoteq HS010001-A03-HGE AC Brushless Servo Motor New
      InUSA H1-X RS Ozone Analyzer Ozone Instrumentation Used Working
      Teradyne 829-421-03 Scope Jack DIB Round PCB Used
      Melles Griot NeNe Laser O5-LHP-488-339 Metricon 2010-LT1 
      JDS Uniphase 2214-25ML Air Cooled Argon Laser
      Foundry Networks B8000 Switch BigIron
      Tegal 46-952-002 Stripper Gas Panel UFC-1100A As-Is
      Celerity UFC-8100 Mass Flow Controller MFC UNIT 8100 50cc CHF3 New
      Teradyne 829-660-00 Universal 300 MIL SOJ DIB Round PCB Used
      Teradyne 829-661-00 DIB Check DIB Round PCB Used
      Aerotech MXH50-D-16M High Res Encoder Signal Multiplier EFN01009 X-Axis Used
      Therma-Wave 18-007612 Polarized Laser Lens Opti-Probe 2600B Optics Used Working
      Therma-Wave 18-011029 Lens Filter Motor Block Opti-Probe 2600B Optics Used
      TEL Tokyo Electron 5093-M00118-11 Electrical System Diagram Manual Lithius Used
      Dynatronix 138-0323-41 REV REG Board Processor Card PCB 190-0323-03 Used Working
      Aerotech MXH50-D-16M High Res Encoder Signal Multiplier EFN01009 Y-Axis Used
      Therma-Wave 18-009251 Adjustable Shutter Aperture Opti-Probe 2600B Optics Used
      Therma-Wave 18-009479 Lens Shutter Block Opti-Probe 2600B Optics Used Working
      Dynatronix 138-1203-10 TIMING Board Processor Card PCB 190-0203-00 Used Working
      Therma-Wave 18-021358 Adjustable Lens Module Rev. A Opti-Probe 2600B Optics Used
      TEL Tokyo Electron OEM Operation Manual Set Clean Track Lithius Used
      Dynatronix 138-0323-40 FWD REG Board SM Processor Card PCB 190-0323-03 Used Work
      Therma-Wave 18-009254 Adjustable Laser Mirror Opti-Probe 2600B Optics Used
      ASML 4022.471.7281 Relay LED Indicator Board PCB Card Used Working
      Oriental Motor PK564AW-P50 Vexta 5-Phase Stepping Motor Lot of 4 Used Working
      Omron F35-A Safety Light Curtain Set of 2 F35-A482-D F35-A482-L Used Working
      Nemic-Lambda JWS 150-24/A Power Supply Reseller Lot of 6 Used Working
      TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working
      Denso 4S587-754 PZTDriver & Cables Nikon NSR-S307E used working
      C&H Enterprises 15-289073-00 Blankoff Top Plate WCVD Copper New
      C&H Enterprises 15-289073-00 Blankoff Top Plate WCVD New
      Carten Controls DPV 750/500 Duplex Valve Lot of 4 New
      Diagnostic Instruments HRD076-NIK High Resolution Digital F-Mount Adapter Used
      Bio-Rad Y5800000 High Intensity Light Assembly Quaestor Q7 Used Untested
      Zellweger Analytics 2414-0017 Non-Intrusive Calibrator and 705 Sensor Kit New
      Lambda LRS 54M-24 DC Regulated Power Supply Reseller Lot of 4 Used Working
      M.E.C. Tech MEC30512-1266TR Process Plate Copper Exposed Used Working
      Edwards Kachina 233-2700-21 Heater 200mm TxZ AMAT 0040-36180 Out-of-Spec As-Is
      Horiba STEC SEF-8240SM-UC Mass Flow Meter Assembly VC-1410-UC BTBAS Used Working
      Horiba Advanced Techno ERD-001C-T Resistivity Sensor New Surplus
      Kokusai CX1229-1 Controller Zestone DD-1203V Used Working
      VAT 12148-PA24-AIG1 Pneumatic Gate Valve Used Working
      Nemic-Lambda TPB-225A DC Power Box TPB-226A Used Working
      Kokusai CX1229-1 Controller Rev. AB Zestone DD-1203V Used Working
      Kokusai CX1229B-1 Controller Zestone DD-1203V Used Working
      Olympus UTPB 26 Optics PCB UTPA86 Hitachi S-9300 SEM Used Working
      Kokusai CX1229-1 Controller Rev. A Zestone DD-1203V Used Working
      DIP Incorporated EH0111(B)-5 Power Supply PCB Assembly EH0111 DB-D56-101E Used
      AdvancedTCA D52085-001 SBC Single Board Computer MPCBL0020S01Q Used Working
      Znyx Networks ZX5000-A1 16-Port AdvancedTCA Base Fabric Switch Used
      AdvancedTCA C55360-009 Single Board Computer Card MPCBL0001F04 Used
      AdvancedTCA C89125-001 Single Board Computer Card NPIC89125 Used Working
      AdvancedTCA D9788-001 Single Board Computer MPCBL0040B01Q Used Working
      Edwards NGW414000 Pneumatic Gate Valve Assembly Copper Exposed Used Working
      AdvancedTCA C13133-010 Power Supply Lot of 6 Used Working
      AdvancedTCA D52085-001 Single Board Computer Card SBC MPCBL0020S01Q Used
      AdvancedTCA C87952-001 Single Board Computer Card MPCBL0010BPP Used
      Diversified Technology ATC5231 Single Board Computer Card Used Working
      RadiSys 002-1-23158-100 PME SIO-1 PCB Card Bio-Rad Quaestor Q7 Used Working
      TEL Tokyo Electron BE6138A2 Touch Screen LCD Monitor LCD1012X Used Working
      Eaton GDB-D 14k Circuit Breaker 15 Amp Lot of 4 Used Working
      Hitachi 560-5547 AMHS PCB Board C 25606747 S-9300 CD SEM Used Working
      AdvancedTCA C89126-001 Single Board Computer Card NPIC89126 Used Working
      RadiSys 23158-100 PME SIO-1 PCB Card K7034-002-2-23158-1001 Quaestor Q7 Used
      AdvancedTCA C13354-007 SBC Single Board Computer PCB MPCBL0001N04 No Ram Used
      AdvancedTCA C13354-007 Single Board Computer PCB MPCBL0001N04 Used Working
      CKD N4S0-T50 Solenoid Valve Manifold N3S010 Lot of 14 Used Working
      AdvancedTCA C62765-002 Single Board Computer NPIC62765 Used
      AdvancedTCA D27271-001 Single Board Computer MPCBL0020BPP01 Used Working
      Znyx Networks ZX5000-X4 16-Port AdvancedTCA Base Fabric Switch Used
      AdvancedTCA C13354-015 Single Board Computer MPCBL0001N04 Used Working
      AdvancedTCA C13354-008 Single Board Computer MPCBL0001N04 Used Working Surplus
      VWR 61161-362 Digital Conductivity Resistivity Salinity Bench Meter Used Working
      Precision Sensors 3441-0006501C6WSE02L0002 Pressure Gauge Lot of 12 Used Working
      SMC US13394 Slit Valve Pneumatic Cylinder 3020-00077 AMAT 0010-25625 Used
      Mitsubishi Ethernet I/F Unit QJ71E71-100 Used Working
      Hitachi 568-5531 Sense CN PCB Board S-9300 CD Scanning Electron Microscope Used
      Agilent Z4207A NC2 Control Board Z4207-60012-4307-55-200430-00123 Used
      Agilent Z4207A NC5 Control Board PCB Z4207-60013-4307-55-200423-00157 Used
      Oriel 60200 Recirculating Cooler Used Working
      Pentagon Tech Shield Assembly API-1123 New
      Nikon 4S018-766 Driver Interface Board PCB IU-DRV5 H=10.0mm Used Working
      DNS Dainippon Screen SL-2121-C-Z Terminal SM Unit HLS-MC1A PC-97040A Used
      Teledyne 1001086000B VC-99 Interface Board Used Working
      Ulvac GI-PRYS Vacuum Gauge Control Module Hitachi M-308ATE Used Working
      Tohoku Ricoh Main PCB 7D000340 Used Working
      Agilent Z4207A NC1 Interface Board Z4207-60011-4307-55-200421-00116 Used
      Agilent Z4207 NC4 Control Board PCB Z4207-60013-4307-55-200423-00151 Used
      Nikon 4S018-646 Case I/F Interface Board PCB Used Working
      Thermo GasTech Genesis Gas Detector Used Working
      SEIKO Precision VP-4500 Thermal Video Printer working
      Nikon 4S013-408 STGSTCRMEPX4 Connector Board PCB Used Working
      Agilent Z4207A NC3 Control Board Z4207-60013-4307-55-200423-00159 Used
      Hitachi ILB-02 Relay Board PCB M-712E Used Working
      SUNX Sensors LD-C60 Laser Line Sensor Controller Used Working
      Granville-Phillips 307004 Degas Controller Used Working
      DuPont Performance Elastomers K649106-9100UP Slit Valve Door Kalrez Lot of 5 New
      VAT F03-77932-12 Slit Valve Novellus Concept Two ALTUS Used Working
       Mitsubishi FX2N-48MR Programmable Logic Controller PLC MELSEC Used Working
      Omron GT1-OD16ML-1 Digital Unit PLC Module Reseller Lot of 6 Used Working
      Lam Research SUS-CRR-0320 RING CONFINEMENT CERAMIC New
      NEAT 330UP Programmable Logic Controller 208 VAC Used Working
      ASM 16-351198D01 Lid Ship Box DTS/A Paddle 200mm New 
      ASM 42-122970A76 POWER SUPPLY-4 OUTPUT+-15V5V24V-350W New
      Lam Research 853-004118R002 RFRB, ASSY RF INTERFACE W/EXCHANGE Used
      Lam Research 715-013718-006 Chuck Arm Spinner New Surplus
      MELLES GRIOT 90130042A Laser Beam Expander
      Acme Transformer TF-2-52796-S Transformer Used Working
      WJ Watkins-Johnson 910622-001 Multi-Channel Serial Data Switch II (MCSDSII) PCB
      Chiba Precision ENC-184118G Servo Motor SMP-27 Nikon NSR System Used Working
      Nikon KXG81782 Interface Module DIPSW NSR System Used Working
      Nikon BD Plan 60 0.70 ELWD Microscope Objective 210/0 Used Working
      Asyst Technologies 9701-1056-02A Interface Relay Board PCB Used Working
      Ultratech Stepper 0553-700974 Laser Comparator Card PCB Used Working
      PRMS Incorporated 1005001 Macroillumination Lamp & Power Supply Set 1005002 Used
      TEL Tokyo Electron 2981-600832-11 Board, I/O DISP #02 PCB SP001 ACT12-300 Used
      Yamatake EST0240Z05BBX00 Smart Terminal LCD EST240Z Used Working
      +GF+ George Fischer 175.315.534 Manual Diaphragm Valve 315 SYGEF Standard New
      Cisco Systems WS-C4507R Switch Catalyst 4500 Series WS-X4013+10GE Used Working
      AMAT Applied Materials SET-805-762KR-AG 8" PIK PRE CLEAN II KIT Refurbished
      Semi Gas Systems GSM-468 Gas Safety Monitor New Surplus
      Bionics Instrument Co. GS-1551HT-PA Sensor H2 0-1000 PPM ASM 77-106768A96 New
      ASM 02-329572D08 ASSY-SUSCEPTOR RING SET 200MM-TOYO TANS New
      MKS Instruments 631B11TBFP Baratron Heated Capacitance Manometer 10 Torr
      VLSI Standards SHS-50.0 Q Step Height Standard
      Carl Zeiss Power-Supply 100 45 24 65 90...240 V
      AMAT Applied Materials 0200-00626 Cover New Surplus
      Acme Transformer TF-2-52795-S General Purpose Transformer Used Working
      Nordiko Technical Services N600596EE Processor PCB Card TLTD-2 9550 Used Working
      SCI Solid Controls 440-560 Processor Board PCB Card 440-579 Used Working
      MKS Instruments 653B-13181 Throttle Control Valve Type 653B Used Working
      AION BS910-2 SCL Brush Roller Reseller Lot of 4 New
      Ultratech Stepper 0553-701156 Amplifier Board PCB Used Working
      Ultratech Stepper 0553-100340 Universal 32 Bit Interface Card PCB Used Working
      Asyst Technologies 9701-1056-01 Interface Relay Board PCB J1074 Used Working
      SPT Microtechnologies 168507-007 Heater Jacket 4 Zone Power Receptacle Box Used
      Foundry Networks FI7202 FastIron II Switch B4000 FI12GM FI24E Used Working
      MATTSON TECHNOLOGY 544-00016-00 Plate Grid RF Used Working
      Lam Research 53T40618 UPPER DEPO SHIELD New
      AceCo S33-915 DRM BELLOWS COVER KIT TEL Used Working
      Granite Microsystems ZNUGR-22375-06 Compact Computer ASM 02-140301U02 E87
      ASM 1061-434-01 ASSY NOISE FILTER BOX New
      FORCERA MATERIALS CO 900895 Upper Shaped Window
      CALMETRICS SO7494-1 ISO17025 Accreditation Cert L2319 Set
      Carl Zeiss SNT 12V 100W Power Supply 45 84 17 115/230 V
      MKS Instruments 623B-28766 Baratron Absolute Capacitance Manometer Type 623 New
      Chiba Precision ETC-214441G Servo Motor BLGPN NSR System Used Working
      Swagelok PTU-S-NG3000-13AC UHP Pressure Transducer Reseller Lot of 5 New
      AMAT Applied Materials 310651R02 Spacer Ring Used Working
      ASM 1012-264-01 Gas Line Manifold Assembly Reseller Lot of 6 New Surplus
      SBS Technologies PMC-Video-S99158 PMC-Video Card PCB AMAT 0190-10691 Used
      Hama Sensors RWX-43I Laser Sensor Used Working
      ASM Advanced Semiconductor Materials 70065-00037C Motor DIO PCB Card Used
      VAT F03-76729-03 Slit Valve Novellus Concept Two ALTUS Used Working
      Schumacher 1495-3174 ELOI Overlay
      AMAT Applied Materials 0400-50414 EMAX DOOR LINER New Surplus
      AMAT Applied Materials 0200-27190 SHIELD UPPER New
      Swagelok SS-FJ32SL32SL32-240 2in. Braided Steel Hose 20ft. New
      ASM 16-351197D01 Base Ship Box DTS/A Paddle 200mm New 
      D&R ENTERPRISES 16-320637C03 Reflector, S-Dam-Light-Front, Light Dam Short Leg
      MKS Instruments 153F-25727 Throttle Control Valve NW50 Used Working
      VLSI Standards RS 3-75 Resistivity Standard
      Spectra-Physics J20-8S-19 Laser Power Supply
      AMAT Applied Materials 0020-26255 Adapter Foil 1.25:1 New Surplus
      Swagelok PTU-S-NG250-23AC UHP Pressure Transducer Reseller Lot of 5 New
      AMAT Applied Materials 0020-42262 Gas Manifold with Mixer Lot of 4 Refurbished
      Varian Semiconductor Equipment E-11276603 Spin/Flip PCB Refurbished
      Quantum 655-0246 A 365MB SCSI Hard Drive Card Ultratech Stepper Titan 4700 Used
      Celerity FC-2979MEP5X-WM Mass Flow Controller 200 SCCM C4F6 TN2979 Refurbished
      Ultratech Stepper 03-08-00090 944 Servo Board Card PCB Used Working
      ASM Advanced Semiconductor Materials 70065-00044A Motor SPGR PCB Card Used
      TEL Tokyo Electron Construction Diagram Manual Set Clean Track Lithius Used
      ASML 4022.471.6418 Capacitor Board PCB Card Used Working
      ASML 4022.471.5673 Encoder Board PCB Card 100-0000-114 Used Working
      Kokusai Electric CX1103 Tube Controller Operator Interface CX-2000 CX13IPL As-Is
      TMC Vibration Control DC-2000 Precision Valve Controller Used Working
      Advantest BLH-024180 PCB Circuit Board M4542AD Used Working
      TEL Tokyo Electron 011-000950-1 Photoresist Pump F-T100-1 Used Working
      Fujitsu Denso KS14-7799-H924 PM4 Power Supply PCB MP-M Y KS350-3913-H634 Used
      Gurley Precision Instruments A25SB16P026A06E1TN Motor and Encoder Set Used
      Pyro T2DD6-17167 Control Panel Kokusai Zestone DD-1203V 300mm Used Working
      Ushio B0010A Excimer Photon Source Power Supply DF0030 Sigmameltec RTS-500 Used
      Astec 080-25862-1817 Power Supply Powertec 9R5-600-381-2-51817 Used Working
      Fujitsu Denso KS14-7799-H923 PM3 Power Supply PCB MP-M Y KS350-3913-H634 Used
      Kokusai Electric T2DD6-17045 PYRO Control Panel DD-1203V 300mm Used Working
      Varian C-H1801001 Driver Amplifier 8-Pin PCB Assembly H1801001 C-H1802001 Used
      Fujitsu Denso KS14-7799-H921 PM1 Power Supply PCB MP-M Y KS350-3913-H634 Used
      Gurley Precision 25/045-NB16-IA-PPA-VAR1E-ABA Motor and Encoder Set Used Working
      Hitachi RYX-1 Time Delay Relay PCB Circuit Board Used Working
      Pyro T2DD6-17847 Control Panel Kokusai Zestone DD-1203V 300mm Used Working
      SMC VQ-1200Y-5 13 Slot Pneumatic Solenoid Valve Manifold Lot of 3 Used Working
      Fujitsu Denso KS14-7799-H922 PM2 Power Supply PCB MP-M Y KS350-3913-H634 Used
      Hitachi PROCESS 1 Controller Module M-712E Shallow Trench Etcher Used Working
      Entegris H9200-0023 200mm Ultrapak Wafershield Wafer Transport Lot of 8 New
      Bio-Rad YS301266 AIMS DC Servo Board PCB Card YS301267 Quaestor Q7 Used Working
      Hitachi PUMP 2 Controller Module M-712E Shallow Trench Etcher Used Working
      Hitachi PROCESS 2 Controller Module M-712E Shallow Trench Etcher Used Working
      TEL Tokyo Electron EPD Box Optic Sensor Unity II U2-855DD 200mm Used Working
      Bio-Rad Y5304800P RATS Interface Board PCB Card Y5304803 Quaestor Q7 Used
      Lam Research 716-011036-001 Ring Filler Lower Rev. F Used Working
      Bio-Rad Y5301266P AIMS DC Servo Board PCB Card Y5301267 Quaestor Q7 Used Working
      Hitachi PUMP 1 Controller Module M-712E Shallow Trench Etcher Used Working
      PRI Automation 70000202 Front Panel Assembly PM421PRI Used Working
      I-O Data PC34R-2//8MTAB Memory ServerII PC34R-4M New
      JDS Uniphase 4711-050-1001 Industrial Laser Head 62.2mW μGreen-SLM Used Working
      Sony XC-711 CCD Vision Camera Module New
      Yamada 030852879EMIA Pulsation Damper 100 PSI AD-25TT Used Working
      Koganei CR1131W-D Alpha Series Robot Used Working
      Yamada AD Series Pulsation Damper 100 PSI Used Working
      Panasonic MSD3AZA1Y04 X Driver Unit TEL ACT12 Used Working 
      VAT 15040-PA24-0002 Pneumatic Gate Valve Used Working
      Kokusai Electric FB001-DS Noise Filter Used Working
      Teknor Microsystems Control Board 212-PCB-01 Lot of 2 Used Working
      Nikon PCB EX-AIS 4S007-843-F Lot of 2 Used Working
      Keyence PJ-V22R Light Curtain Receiver PJ-V21R PJ-V20R Used Working
      AMAT Applied Materials 0020-53725 Cover Clear Lid AFREOL New Surplus
      Nikon 4L990-544AN Lens Sensor Assembly Used Working
      HP Hewlett-Packard 10897-60002 Laser Axis VMEBus PCB Card 10897B Nikon NSR Used
      Credence 97152002-02 Server Interface PCB Card 40152002 Used Working
      Yaskawa CIMR-XCAA21P5 Drive Controller VS mini Nikon NSR-S202A Used Working
      Carl Zeiss 44 23 34 Epiplan-NEOFLUAR Microscope Objective 10x/0.30 HD ∞/0 Used
      Ebara 217089A Dry Pump Interface Used Working
      STP Edwards PT46-Y1-B39 Turbomolecular Pump Control Cable 3.5M Used Working
      AMAT Applied Materials 0020-26906 6" Low Knee Shield Used Working
      Aera FC-D980C Mass Flow Controller MFC 400 SCCM O2 Refurbished
      Digital Electronics UF7811-2-DV1-24V LCD Touch Screen Display Copper Cu Used
      Iwaki MD-70RZM Magnet Pump Used Working
      A-B Allen-Bradley 100-B100N*3 Contactor Reseller Lot of 2 Used Working
      Ebara 217063 Dry Pump Interface Used Working
      AMAT Applied Materials 0010-09120 BWCVD Motor Drive Assembly Used Working
      STP Edwards J14J0003-01 Turbomolecular Pump Cable P010/13P STP 600/1000 Used
      Seiko Seiki P005Y008Z891-3 Power Supply Board PCB SR1 SCU-H1000C Used Working
      Iwaki MD-100RM Magnet Pump Used Working
      Keithley Instruments 2000 Benchtop Multimeter 2000 Series Used Working
      Westinghouse FDB3125 Circuit Breaker FDB 14K Reseller Lot of 2 Used Working
      Agilent 34970A Data Acquisition Switch Unit Base No Bezels Cards Handle Used
      AMAT Applied Materials 0190-09731 BWCVD Motor Drive Assembly Used Working
      Watlow DB2C-2060-C0S0 Power Controller DIN-a-mite Reseller Lot of 4 Used Working
      UNIT Instruments UFC-1661 Mass Flow Controller MFC 100 SCCM HBR Refurbished
      AMAT Applied Materials 0021-10747 RF Match Capacitor Assembly Inner Coil Used
      Astec 080-25862-1850 Power Supply 9R5-600-381-23-S1850 Teradyne 405-138-00 Used
      TEL Tokyo Electron 1810-350080-11 Box Base and Cover Set PCV P1 PCV P2 A-RDC New
      Tosoh 04813-0005 PIK Insulator 8" W/FLT PC-II Refurbished
      UNIT Instruments UFC-2050A Mass Flow Controller MFC 30 SLM N2 Refurbished
      SMC VV3Q11-ULB970194-13 13-Port Pneumatic Manifold VQ110Y-SF-X94 Lot of 2 New
      Thermonics 1B-080-1A Input Output Processor PCB Card T2400R I/O Used Working
      Kulicke and Soffa Industries 00835-4580-000-71 Interface Board PCB Card Used
      Kulicke and Soffa Industries 01471-4000-000-14 Processor Board PCB Card Used
      Kulicke and Soffa Industries 01471-4000-000-15 Processor Board PCB Card Used
      Nikon 4B043-726 Magnetic Linear Rail Assembly NSR-S307E 300mm DUV Used Working
      Nikon 4B043-710 Linear Rail Assembly NSR-S307E 300mm DUV Scanning System Used
      Kulicke and Soffa Industries 01471-4001-000-01 Processor Board PCB Card Used
      Kulicke and Soffa Industries 1471-4013-0-01 Relay Board PCB Card Used Working
      Nikon 4S008-036 Analog to Digital Converter Board PCB EP-X4 Used Working
      Mydax M1001E Chiller Operator Interface Controller Panel 1VL5WA1 Used Working
      Nikon X88Z1-0001-D Linear AC Servo Motor Rail 4S604-212-3 NSR-S307E DUV Used
      Tylan General AC213C AdapTorr Valve Controller AC-2 Novellus 04-848579-00 Used
      Kulicke and Soffa Industries 01483-4027-000-02 Video I/F Board PCB Card Used
      Kulicke and Soffa Industries 00835-4509-000 Wire List Board PCB Card Used
      Kulicke and Soffa Industries 01471-4000-000-12 Processor Board PCB Card Used
      Kulicke and Soffa Industries 06100-4006-000-03 Processor Board PCB Card Used
      MKS Instruments 127AA-00100B Baratron Pressure Transduser Used Tested Working
      Kulicke and Soffa Industries 01471-4000-000-13 Processor Board PCB Card Used
      Kulicke and Soffa Industries 01482-4001-000-02 Processor Board PCB Card Used
      MKS Instruments 122AA-00010DB Baratron Pressure Transducer Used Tested Working
      Nikon 4B043-727 Magnetic Linear Rail Assembly NSR-S307E 300mm DUV Used Working
      Nikon 4B043-709 Linear Rail Assembly NSR-S307E 300mm DUV Scanning System Used
      Komatsu Electronics BAMA01263 Power Supply PCB Board CADG00143 TEL Lithius Used
      Asyst Smart Plus 1.54 System Control Computer ASM Epsilon 3200 Used Working
      PRI Automation 7500 Box Pod Power Distribution Box Used Working
      VAT 15040-PA24-0002 Gate Valve Cable is Cut Untested As-Is
      Diavac LCAV-25HF Pneumatic Angle Valve Lot of 8 Used Working
      Pacific Scientific SC402-010 Servo Controller Lot of 4 for Repair As-Is
      AMAT Applied Materials 0020-34111 Heater Leveling Plate Precision 5200 New
      Patlite SLFB Signal Towers 24V AC/DC 0.11A Lot of 6 Used Working
      Vicor MX5-410502-33-EL 4kW Mega Pac Power Supply Lot of 2 Used Working
      Edwards NGW415000 Pneumatic Gate Valve Copper Exposed Used Tested Working
      Digital Electronics GP37W2-BG41-24V Graphic Panel Pro-Face QPM3D200B2P Used
      Power-One RPM5E5E5E5E5KS379 Power Supply Teradyne 405-331-00 A Lot of 3 Used 
      Vicor MX2-45501-32-EL 4kW Mega Pac Power Supply Lot of 2 Used Working
      Vicor MP8-49501-22-EL 4kW Mega Pac Power Supply Lot of 2 Used Working
      Lam Research 810-017004-001 Solenoid Interlock Board Rev. G Used Working
      Power-One RPM5BCEJEHS483 Power Supply Teradyne 405-331-00 A Lot of 3 Used
      Prodrive 4022.471.7412 PADC 100/16 Amplifier 6001-0303-5701 ASML Used Working
      Berkeley Process Control USA2-11-28-UR Multi-Axis Servo Pump Amp Used Working
      TEL Tokyo Electron 7310827801 P3 Purge System New
      Power-One RPM5D5D5D5D5D5S378 Power Supply Teradyne 405-235-00 A Lot of 3 Used
      Vicor MX3-410505-33-EL 4kW Mega Pac Power Supply Lot of 2 Used Working
      Vicor MXB-410511-33-EL 4kW Mega Pac Power Supply Lot of 2 Used Working
      Hitachi 545-5504 PCB Card INT/PTM S-9300 Used Working
      Hitachi 566-5510 PCB Card HV/LENS S-9300 Used Working
      Kniel FPM 0811 5V/+-15V Power Supply PCB Card ASML 4022.436.87251 Used Working
      Iwaki Musen Kenkyusho SR1058AE Power Supply AD3 PCB MK2G69951 Used
      Testo 645 Probe Handheld Digital Readout 0560 6450 New Surplus
      ASM 03-189611-01 Vacuum Controls I/F Board PCB Used Working
      Hitachi 545-5514 Power Supply LENS PS S-9300 Used Working
      Hitachi 545-5505 PCB Card RS232C S-9300 Used Working
      Hitachi 545-5513 Power Supply DEF PS S-9300 Used Working
      Iwaki Musen Kenkyusho SR1057AC Power Supply AD2 PCB MK2G69950 Used
      TEL Tokyo Electron 3281-000138-12 PCB-CTROL Board PCB P-8 FA1006K501A Used
      Schlumberger 715021420 Power Supply 97913074 Rev. B Used Working
      Nikon 6S700-196-1 EX-XB PCB Printed Circuit Board Used Working
      Sanyo Denki PMM-BD-5705-1 Motor Driver PCB Lot of 2 Used Working
      Internix PF810-ASEHD4 Robotics Teach Pendant Profort 810 Used Working
      TEL Sony P-8 Camera Module M8C37404-1 XC-75 Used Working
      Sony UP-51MDU Color Video Printer RM-5500 working
      TEL Tokyo Electron P-8 200mm Wafer Indexer Used Working
      MKS Instruments 260PS-1 Power Supply Type 260 Used Working
      KLA-Tencor 303737 Rev.0C Interface Board HRP-340 Surface Profiler Used Working
      TEL Tokyo Electron D115635 Long Door Shield (11" Cath.) New
      CKD EHS-3000S-B-265-95-LF-NL540481 Brush Cylinder Assembly 3/6 Used Working
      Kooltronic KBB63-63-106-1 Blower Leeson A6T34DB21A ASM 4612225-0001 New
      IMTEC 10-002-0141 Water Cylinder ASM 4626478-0001 New
      UNIT Instruments UFC-1660 Mass Flow Controller MFC 3 SLM O2 Refurbished
      Mattson Technology 544-00016-00 RF Grid Plate Used Working 
      Metron U-715-330000-090-D Aluminium Al Gas Injection Ring Refurbished
      TEL Tokyo Electron 1D85-050321-12 Power Supply Assembly A-RDC New Surplus
      Tosoh 805-140-TM-62R-192 Pedestal PC-II w/Fit Used Working
      Nikon 4S013-500 Processor Interface Board PCB BLIFX4B1 Used Working
      Honeywell TSVCTISET-07935 Titanium Vectra Coil 5 Pins TA2004 New
      MKS Instruments 142AA-00010BB Vacuum Switch Type 142 Used Working
       Nikon OPTISTATION 3 Binocular Eyepiece Microscope Assembly CFWN 10x/20 Used
      ECI Systems 04-055583-00 Remote Module Kit TW Monitor Used Working
      ASM 1127-024-01 HTM Anodized Previum V2 Showerhead New
      ASM Advanced Semiconductor Materials 03-185617D02 System Interface PCB New
      ASYST 9701-1056-01C Door Node PCB ASM 90-123159A49 New
      Kooltronic KBB36-36-XX10 Blower ASM 4041795-0001 New
      Lam Research 810-34806 RFG RF Interlock Board PCB Used Working
      Kokusai Electric D2E01080A Vertron Power PCB PFS/A1 Used Working
      AMAT Applied Materials 0400-50414 Door Liner New
      Lam Research 718-098591-001 VAT 65 Pendulum Valve Gate Paddle Used Working 
      ASM 73008-01565 Gate Valve RC-WHC LO/TO Assembly New 
      Bronkhorst F-004AC-LUA-99-Z Pressure Control Valve ASM 830039511 New 
      AMAT Applied Materials 0020-39834 Polymide Pedestal Assembly New 
      Nikon Fiber Optics Light Source Used Working 
      AIT Advanced Integration Technology 16-147150-01 Lid Cover PCE-MCE 90 RH New
      Mattson Technology 544-00023-00 Quartz Tube Cap New
      ASM 02-331952D02 Backup Water LH EL-O-Matic ED0025.UIA00A-11K1 New
       AMAT Applied Materials 0021-03076 IPS SI Roof Support Ring Used Working
      Harmonic Drive Systems KXA-48-16/AUX/PS Servo Drive Power Supply Card PCB Used 
      Kokusai Electric CX1103 Tube Controller Operator Panel CX-2000 Bad Screen As-Is
       V-Tex 3-850133-^8 Pneumatic Slit Valve Rollcam Copper Cu Exposed Used Working
      Horiba STEC LF-310A-EVD Liquid Mass Flow Meter TEPO AMAT 3030-05745 Refurbished
      TEL Tokyo Electron Limited Quartz Ring Holder SCCM Used Working
      UNIT Instruments UFC-1660 Mass Flow Controller MFC 100 SCCM Ar Refurbished
      GasTech Safe-T-Net 2000 Dual Channel Controller JPW5489 New
      GL Automation H-TI-QTZ-033 Boat Handle With Vesper Hook Style Fingers New
      Inficon 1129-883-01 Spool DN 63 ISO-K 50L SST-AL203 ASM New
      BECO Manufacturing SHV-104630-POL High Purity Valve Lid ASM 4677827-0001 New
      AMAT Applied Materials 0021-04317 Throttle Valve Flat Top New
      Datacon KRT.567.01 Pattern Recognition PCB Card IPCU40 86505090014 Used Working 
      Kokusai Electric D3E01372A PCB PLMDRV7/A1 Used Working
      Kokusai Electric D3E01156C PLMDRVI A/3 PCB Used
      AMAT Applied Materials 0021-15676 Desposition Ring Used Working
      AMAT Applied Materials 0020-35957 Sapphire Window Space BK Regulator Platter New
      AMAT Applied Materials S3M22110 DPS Poly Locking Ring Used Working
      Brisk Heat UASM12012RSN-187 Heated Jacket 2406780-01 New Surplus
      Mistubishi FX2N-128MR Programmable Logic Controller PLC S36-00 NSR-S202A Used
      V-Tex Twinrollcam Slitvalve with Gate Door 13.875"x2.125" Used Working
      ASM 2947293-01 LPC Fuse Retrofit Kit 53KVA 125/160A Siemens 3N3A3132 3NA3136 New
      NM Laser Products LST400D Laser Shuttle Safety Interlock Used Working 
      KLK Incorporated 16-147151-01 Lid Cover Assembly PCE-MCE 90 LH New
      A-B Allen Bradley 1747-L542 PLC Processor Unit SLC 500 New
      Nikon 4S008-057-A-274 Interface Board PCB AISref-X4 NSR-S205C Used Working
      Tosoh SET-805-016-AG Dished Ring Used Working
      ATMI CMBK-023200-OWN 316L Stainless Steel Gas Canister New
      Helix Technology 8127211G001 Logic Board PCB 8127210G001 CTI-Cryogenics Used
      Celerity UFC-1660 Mass Flow Controller MFC 10 SLM O2 UNIT 1660 Refurbished
      Varian Semiconductor Equipment E17284870 Wafer Lift Arm End Effector Used
      Millipore FC-2900M-4V Mass Flow Controller MFC 100 SCCM HBR Tylan Refurbished
      Celerity UFC-1661 Mass Flow Controller MFC AMAT 3030-00124 Refurbished
      L & W Fluid 1129-530-01 Process Chamber Injector Tube ASM New
      Panasonic MSMA3AZA1N Compact AC Servo Motor New Surplus
      Aera TC FC-PA780C-BW Mass Flow Controller MFC FC-PA780c 400 SCCM SF6 Refurbished
      Gespac GESRAM-14C-9318 Ram Memory Board PCB Card New
      NTI VOPEX-2MM-BI Touch Screen Splitter iTM V-2MM-BI-ITM ASM 830054693 New
      FSI International 209104-200 Pneumatic Interface PCB Used Working
      Lam Research 810-057016-003 Platen Heater PWR MON/GFI PCB Used Working 
      Lam Research 715-140126-001-D Upper Baffle Facing Used Working
      Lam Research 718-098592-001 Locking Ring VAT 65 Used Working
      AMAT Applied Materials 0021-04319 Throttle Valve Liner Used Working 
      ASM Advanced Semiconductor Materials 73008-01098 ASSY/50A/RC to FP/VAC New 
      ASM Advanced Semiconductor Materials 2843641-01 Gate Valve Door New 
      Dynamic Display QES2014-132 13" Color Monitor TEL 65-0080-120 New
      ASM Advanced Semiconductor Materials 2314177-01 ATM A412 SUS Tooling Kit New
      Honeywell 9210112 Pressure Transducer Model AB ASM 4085177-002 New
      ASM 16-33519D01 SMIF LL Autodoor Enclosure Panel New 
      Nikon 4S015-227 Network Interface Board PCB NK8601A NSR-S307E Used Working
      TEL Tokyo Electron 200mm Pincette Robot End Effector New
      MKS Instruments S2H10769 Vacuum Throttle Valve Used Working
      V-Tex V060780 Gate Valve Heated Type ROLLCAM 236x46 Refurbished
      Kokusai Electric D2E01522 Interface Display Board INT-CNBA Used Working
      CKD EHS-3000S-B-265-95-LF-FL451638 Brush Cylinder Assembly 3/6 Used Working
      TEL Tokyo Electron 1810-350076-11 Float A-RDC-2E Block New Surplus
      UNIT Instruments UFC-8160 Mass Flow Controller MFC 200 SCCM SIH4 Refurbished
      Tylan FC-2900MEP Mass Flow Controller MFC 2900 Series 20 SLM H2 Refurbished
      Nikon 4S008-005 Interface Control Board PCB STGX23A NSR-S204B Used Working
      VAT Valves 202046 Sealing Ring ASM 4135658-0001 New
      Phoenix Contact QUINT-PS-3x400-500AC/24DC/20 Power Supply Refurbished
      Moore Fabrication HOU-7050-000 Valve Gate Poppet ASM 4617527-0001 New
      Horiba STEC SEC-Z512MGX Mass Flow Controller MFC 200 CCM H-CHF3 Refurbished
      AMAT Applied Materials 0021-04313 Throttle Valve Flat Bottom New
      Fuji Electric SC-10N/UL Magnetic Contactor 1NC4HO New
      HSC Hi-System Control HIMV-605A Processor PCB Card DI/OIIA Used Working
      AMAT Applied Materials 0021-04312 Throttle Valve Flat Top Used Working
      Kyodo Yushi 91309-00011 Fluotribo MH Grease New 
      AMAT Applied Materials S3M22109 DPS Poly Paddle Used Working
      ASM Advanced Semiconductor Materials 73008-70412 TEMP MEASUREMENT STAND New
      Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. D.1 Used
      Nikon 4S008-207 Processor Board PCB EP-EE3 NSR Series Used Working
      AMAT Applied Materials 0100-01546 Source Magnet Control PCB Card Issue B Used
      Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. F Used
      Nikon 4S019-137 Driver Control Board PCB REX-DRV3 NSR-S307E Used Working
      Zendex ZX 564 ZBX Mother Board PCB PCZX-564-A ASML 859-8147-001 Used Working
      Nikon 4S019-147 Motor Control Board PCB REX-MTR NSR-S307E Used Working
      StarPanel M3104 10.4" VGA Industrial Touch Screen LCD Monitor Used Working
      Schmitt-Kreiselpumpen MPN 130 Fluid Pump Emod Motoren Type 71L/2 Used Working
      TURBOTRONIK NT 20 Leybold 857 20 Turbomolecular Pump Controller SW 2.6 As-Is
      Asyst Hine Design 04630-004 Load Elevator Indexer Gasonics 94-1174 Damaged As-Is
      ASM Advanced Semiconductor Materials 2976285-21 PCB Board Rev. A1 Used Working
      ASM Advanced Semiconductor Materials 2894203-21 PCB Board Rev. A1 Used Working
      ASM Advanced Semiconductor Materials 2890224-21 PCB Board Rev. A Used Working
      ASM Advanced Semiconductor Materials 2334801-21 PCB Board Revision B Used
      ASM Advanced Semiconductor Materials 2506661-21 PCB Board Rev. C Used Working
      ASM Advanced Semiconductor Materials 2506661-21 PCB Board Rev. B1 Used Working
      ASM Advanced Semiconductor Materials 2856735-21 PCB Board Revision A2 Used
      ASM Advanced Semiconductor Materials 2548860-21 PCB Board Rev. A Used Working
      ASM Advanced Semiconductor Materials 2548860-21 PCB Board Rev. A1 Used Working
      ASM Advanced Semiconductor Materials 2616351-21 PCB Board Rev. A Used Working
      AMAT Applied Materials ETD Electron Detector SemVision cX Used Working
      Asyst Hine Design 04630-004 Load Elevator Indexer Gasonics 94-1174 As-Is
      ASM Advanced Semiconductor Materials 2506416-21 PCB Board Rev. B Used Working
      ASM Advanced Semiconductor Materials 2506513-21 PCB Board Rev. A1 Used Working
      ASM Advanced Semiconductor Materials 2506556-21 PCB Board Rev. A3 Used Working
      ASM Advanced Semiconductor Materials 2506572-21 PCB Board Rev. A1 Used Working
      ASM Advanced Semiconductor Materials 2506416-21 PCB Board Revision A1 Used 
      GaSonics 94-2807 48L Control Board PCB 09316-805 Aura 2000LL Used Working
      KLA Instruments 730-658844-00 AC Power Box 2132 Wafer Defect System Used Working
      Asyst Hine Design 04630-003 Ergo Loader Gasonics 94-1175 Used Untested As-Is
      ASM Advanced Semiconductor Materials 2850125-21 PCB Board Rev. B Used Working
      ASM Advanced Semiconductor Materials 2506661-21 PCB Board Rev. B Used Working
      ASM Advanced Semiconductor Materials 2506475-21 PCB Board Rev.A1 Used Working
      ASM Advanced Semiconductor Materials 1001-524-21 Processor PCB Revision A Used
      ASM Advanced Semiconductor Materials 2506564-21 PCB Board Rev. A5 Used Working
      ASM Advanced Semiconductor Materials 2506629-21 PCB Board Rev. 4-C Used Working
      Siemens 3ZX1012-0RT03-1AA1 Contactor Sirius Reseller Lot of 7 Used Working
      ZNYX ZXKIPU-X2 PCB Card AdvancedTCA Used Working
      Rorze RE120-002-001 Indexer Elevator Assembly RD-023MS Used As-Is
      200mm Ceramic Wafer End Effector Lot of 3 Used Working
      KLA-Tencor 51-0270 Light Board Module KLA Quantox XP Used Working
      Kensington 35-3708-0000-01 Subassembly Oval Edge Grip Piston Lot of 6 Used
      GaSonics A95-205-01 Lamp Illuminator Module Rev. F Used Untested As-Is
      AMAT Applied Materials 9090-01247 Beam Current Measurement Module RX/TX Used
      HItachi 560-5546 Control Rack AMHS IO Card S-9300 CD SEM Used Working
      TEL Tokyo Electron PS1 T-HV Conditioner Box T-3044SS Etcher Used Working
      Panasonic DV83090HA513 AC Servo Driver Used Working
      TEL Tokyo Electron PS2 T-HV Conditioner Box T-3044SS Etcher Used Working
      TEL Tokyo Electron 2981-600534-11 Board Conn PCB BLT/L 2908-600534-11 ACT12 Used
      MKS Instruments 128AA-00010B Baratron Pressure Transducer Type 128 Used Working
      Phasetronics P1050-X2-60 Power Control System Reseller Lot of 4 Used Working
      Millipore CDLD2106E Baratron Capacitance Gauge CDLD-21S06-EMC Lot of 6 As-Is
      Nikon 4S782-164 Stepper Controller Driver Used Working
      Murr Elektronik TNGS 10-230/24 DC Power Supply 24VDC/10AMP Lot of 5 Used
      MRC Materials Research A115355 Power Box A115395 Eclipse Star Used Working
      Nemic-Lambda EWS100-24 DC Power Supply Lot of 3 Used Working
      Hitachi S-9300 Robot Pre-aligner Cables Used Working
      Edwards A52844413 im Pump Interface Flash Module Used Working
      Keyence Laser Scanner Reader BL-600HA Used Working
      TLA Technology Inc 519-000 PCB Used Working
      Siemens 6ES5 464-8MC11 Analog Input Simatic S5 Used Working
      VMIC VMIVME Model 5530S PCB 333-000132-C  Working
      Delta Design 1669755-501 Push Bar/LCD Control PCB Used Working
      Ultrapointe 000276 Spectrometer PMT Preamp Assembly KLA-Tencor CRS1010 Used
      VAT 0750X-UX24-ACT Pneumatic Slit Valve New Surplus
      Therma-Wave 14-008247 Auto Focus Digital AF MOD2 PCB Card Rev. A Used Working
      Heason Technologies Group Operator Interface Panel D641 Key Pad PCB Used Working
      AMAT Applied Materials 0020-92635 Electrode E3 New
      AMAT Applied Materials 0040-91728 Ceramic Forged Heater Holder New
      AMAT Applied Materials 0041-12354 300mm Slit Valve Door New Surplus
      AMAT Applied Materials 0020-92636 Electrode E4 New
      ASM 1003-401-01 HARNESS-MAINT CART BHD TO MAINT CART New
      OPTEM 29-60-54 Coaxial Cable New
      Thornton 211-1 Resistivity Probe/Cell Probe 0.01 Constant Used Working
      UNIT Instruments UFC-1100A Mass Flow Controller 500 SCCM WF6 New
      ASM Advanced Semiconductor Materials 1130-467-01 Kit-Wiring Preclean PDU New
      ASM Advanced Semiconductor Materials 116-328946D01 REFL-S-SINGLE PARABOLIC New
       Schott A20800.2/20 Illuminator DCR III A05853 KLA-Tencor 11301396041000 Used
      SMC VV5Q11-08-DAJ00899 Pneumatic Manifold VQ1A01NY-5 ASM 50-125207A17 New
      Kniel 313-102-0404 Power Supply PCB Card CP 8.14/HI ASML 4022.476.01111 Used
      Horiba STEC SEC-Z512MGX Mass Flow Controller MFC 1 SLM SiH2Cl2 Refurbished
      Astrosyn 23KM-K261-01VA Stepper Motor New
      Mykrolis ECDV01P01 Guardian ECD PEX 10" C-O Filter New
      Precise Sensors 70425-250-01-GA Sensor Readout Used Working
      AMAT Applied Materials 678459 Bearing, Rotation 7800 RP New
      AMAT Applied Materials 0020-93002 Aperture Fixed New
      TEL Tokyo Electron 1B80-002389-11 Relay DN Board PCB DI80DO80 PR300Z Used
      Air Products AP 14341 Output to Arcom Analog Input Board PCB Used Working
      UNIT Instruments UFC-3101 Mass Flow Controller 40 SLM N2 New
      ASM 1129-300-01 KIT-WIRING HIGH VOLTAGE ENCLOSURE New
       AMAT Applied Materials 0090-09145 TC AMP Assembly Precision 5000 P5000 Used
      Faulhaber Minimotor 2338S024S HEDS-5540F14 Used Working
      Asyst Technologies Robot System Relay Module CyberResearch CYSSR 24 New Surplus
      InUSA H1-X WJ Ozone Analyzer AFX Model H1 Used Working
      AMAT Applied Materials 0020-97975 Deceleration Electrode New
      AMAT Applied Materials D113171 Body, Chuck New
      Lam Research 716-021492-001 Director Process Gas Used Working
      Varian E17102270 Chamber Source Magnet Shield Graphite New
      Riken Keiki 5000-CC RPK-MODULE ALARM 0-2000PPM RM-5000 ASM 1097-471-01 New
      Qualiflow AFC 202 NC Mass Flow Controller MFC AFC-202 200 SLM N2 Used Working
      Air Products BH-013 Heater N2 DC50 R/D 120V 1250W New
      TEL Tokyo Electron 1B80-002391-11 TMC ADD ON BOARD(80/80) PCB PR300Z Used
      TEL Tokyo Electron HTE-OC3-C-13 Interface Board PCB CONN HP CHEM #01 Used
      Bodine Electric Company 830 Motor Control Board Type-FPM ASM 30-121186A01 New
      Nikon 4S015-227 Network Interface Board PCB NK8601A NSR-204B Used Working
      ASM Advanced Semiconductor Materials 16-320065D01 Mounting Plate Rev A New
      Zenith ZPS-200 Switching Power Supply ASM 42-122970A24 New
      Bodine Electric 33A5BEPM-W3 Gear Motor ASM 02-32135C01 New
      AMAT Applied Materials 0010-76175 CVD Throttle Valve Used Working
      ChemTrace 715-011504-001 Upper Baffle New
      Hurst 3602-003 Model LAS Motor Coater Step MTI Used Working
      Millipore CTFH01TPE Fluorogard AT C-O 10" Filter New
      AMAT Applied Materials 0020-94223 Aperture Resolving New
      KLA-Tencor Prism Lens with WFR Adjuster Set of 2 GPIO Laser Optics AIT I Used
      Air Products DD 1554 Signal Interface Relay Board PCB (APCI) Lot of 4 Used
      TEL Tokyo Electron AP9Z-2033A Add-On Spin #2 Board TKB7042 PCB Lithius Used
      Hitachi IOTU-02N Relay Interface Board PCB Used Working
      UNIT Instruments UFC-3101 Mass Flow Controller 50 SLM N2 New
      Animatics Corp SM2320D-DN2PLS-F2 Servo Motor ASM 1007-291-01-R Refurbished
      ASM Advanced Semiconductor Materials 3753492-01 SHIELD-PCE RIGHT SIDE-300MM New
      Swagelok 6LV-8NC32P-C Diaphragm Valve ASM 50-108456A71 New
      Tencor Instruments 294420 Motor Distribution S8000 Board PCB Rev. A KLA AIT Used
      MKS Instruments 627A.1TAD-----S Baratron Transducer Used Tested Working
      MKS Instruments 629A13TBC Baratron Capacitance Manometer Used Tested Working
      MKS Instruments 225A-25538 Baratron Differential Transducer AMAT 0190-17150 New
      Iwaki HPT-106-2 Photoresist Tubephragm Pump HPT-106 TEL Lithius Untested As-Is
      Nikon 4S003-058-A Interface PCB Board MIC-I/F 2S003-041 OPTISTATION 3 Used
      Ebara VIF70AM1 Vacuum Control Panel Interface Module AMAT P5000 Used Working
      MKS Instruments CT27A13TDC910 Digital Baratron CommunicaTorr Used Tested Working
      MKS Instruments 629A-12TBC Baratron Capacitance Manometer Used Tested Working
      ASML 4022.436.7714 Embedded Programmable Logic VME Card PCB Used Working
      Lightwave Electronics M131S-AA01 Laser Diode Driver 131A Used Working
      MKS Instruments 223B-11463-----S Baratron Differential Transducer E1058-10BV New
      ASML 4022.471.6240 Fiber Optic Transceiver VME Card PCB 4022 471 4187.1 Used
      DIP Incorporated EH0111(B)-8 Power Supply PCB Assembly EH0111 DB-D56-101E Used
      Ebara Vacuum Control Panel Interface Module Omron H3BH AMAT P5000 Used Working
      SVG Silicon Valley Group Wafer Gripper Station 90S DUV Used Working
      Tencor Instruments CDI CPU-9 MM/BS PCB Card Surfscan 4500 KLA-Tencor Used
      MKS Instruments CT27A11TDC910 Digital Baratron CommunicaTorr Used Tested Working
      Air Products 1-809-602562 Gasguard Operator Interface Display Panel Used Working
      Nikon 4S018-546-A CCD-I/F PCB KAB11040/3201-2 2S017-394-2 OPTISTATION 3 Used
      Mitsubishi Electric HC-MF2G1-UE AC Servo Motor Gear Head K9020 B Used Working
      Nikon 4B043-726 Magnetic Linear Rail Assembly OPTISTATION 7 Used Working
      Hitachi HT94217 SBC Single Board Computer PCB Card CPU0 Ver. G Used Working
      Eaton 453282 300mm PDB Interface Board PCB Rev. E Used Working
      Keyence FS-V1 PS-T2 Sunx SC-MIL SC-T8J Sensors Lot of 9 Nikon NSR-S307E Used
      Philips 8122-410-5178.3 MIP PCB Card MVA2000 ASML 4022.436.3035 Used Working
      Eaton 453282 300mm PDB Interface Board PCB Rev. C Used Working
      Nikon 4S007-948 Control Board PCB’s NSR-S204B 4S007-931 4S007-930 Used Working
      Philips 8122-410-01401 Special Acquisition Card MVA2000 ASML 4022-436-0294 Used 
      Data Translation 05206 Control PCB Card Rev. G Opti-Probe 2600B Used Working
      Parker Compumotor 71-006085-03 Drive Board Rev. A PCB Card Opti-Probe 2600B Used
      Meiden UP004 Battery Module Used Working
      Therma-Wave 18-007478 Sensor Optics Module Rev. F1 Opti-Probe 2600B Used Working
      ASM Advanced Semiconductor Materials 2411148-01 Circuit Board PCB Used Working
      ASM Advanced Semiconductor Materials 2911779-21 PCB Board ESIGMA WK0705 Used
      Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch lot of 8 working
      Lam Research 853-013610-001-D Solenoid Tray Assembly 4420 Incomplete As-Is
      Omron LK201 PLC Module Assembly NC112 OC224 ID212 Used Working
      Hitachi HT94217 SBC Single Board Computer PCB Card CPU0 Ver. G1 Used Working
      TEL Tokyo Electron P-8 103-4507-7046 Dual Arm Transfer Assembly Used Working
      Eaton Ion Beam Systems 1162950 Remote Control Console Used Working
      Nikon Plan 2/0.05 Microscope Objective AMAT Applied Materials 3920-01553 New
      AMAT Applied Materials 0100-91087 Sol/Fil EXT Interface PCB Card Used Working
      SM-10 Anelva 1LC1013 8 Channel A/D Converter 842-5396 working
      Philips 40224365035 ASML Motion Card Used Working
      ASML 4022.471.4108 Interface VMEbus PCB Card Used Working
      Lam Research 810-017004-001 Solenoid Interlock Board Rev. F Used Working
      Lam Research 810-17012-001 Heartbeat PCB Board Rev. D 4420 Used Working
      AMAT Applied Materials 0100-01138 Spin/Scan Interlock PCB Card XR80 Used Working
      iNCAA Computers ASML Control PCB VME-VK22-5723 4022.470.2174 Used Working
      ASML 4022.471.4069 Interface VMEbus PCB Card Used Working
      ASML 4022.471.4643 Interface VMEbus PCB Card Used Working
      Mitsubishi FX0N-24MR-ES PLC Programmable Controller Used Working
      AMAT Applied Materials 0100-90093 Ion Gauge Power Supply PCB Card XR80 Used 
      AMAT Applied Materials 0100-01351 Thermistor Gauge Controller PCB Card XR80 Used
      Yaskawa JRMS1-B-1027 Memocon-SC Mount-Base 4820-040-040Y Lot of 3 Used Working
      ASML 4022.471.6615 Analog I/O Board 4022.471.4087 Used Working
      ASML 4022.471.4635 Interface VMEbus PCB Card Used Working
      SM-10 Anelva Booster PCB-A Booster Module working
      Philips 8122-410-01401 Special Acquisition Card MVA2000 ASML 4022-436-8254 Used
      ASML 4022.471.4648 Interface PCB Control Card Used Working
      ASML 4022.471.4567 Interface VMEbus PCB Card Used Working
      ASML 4022.471.4117 Interface VMEbus PCB Card Used Working
      AMAT Applied Materials 0100-94006 Spin Control PCB Card XR80 Used Working
      Varian Semiconductor Equipment 304 ESR Diode Ion Pump Used Working
      Hitachi I-900SRT Lens Assembly with Mounting Base Plate Used Working
      Hitachi 2K015 C7883 Optical Sensor Assembly I-900SRT Used Working
      Nikon 65259 Revo Controller Hitachi I-900SRT Used Working
      Lam Research 714-002602-001 E End Point Detection 853-001983-005 Rev. P Used
      Horiba STEC SEC-4500 MFC Mass Flow Controller 10SLM H2 ASM 54-123117A18 New
      Cicoil 413H100-2 YSS Flex Cable MSX SVG Silicon Valley Group 859-0948-001 New
      V-Tex 3D80-000006-V1 Pneumatic Slit Valve Rollcam TEL Tokyo Electron Used
      AMAT Applied Materials 3700-03242 Shielded Slit Valve Door New Surplus
      MKS Instruments 253A-11087 Exhaust Throttle Valve Type 253A Used Working
      Omron R88M-W20030T-S2 AC Servo Motor Used Working
      Tylan General FC-2900MEP Mass Flow Controller MFC 300 SCCM NH3 Refurbished
      AMAT Applied Materials 0100-90861 Spin Scan Detector PCB Card Issue D XR80 Used
      Osram 1000Q/T6/RTP/C Photo Optic Lamp AMAT 1010-00666 Reseller Lot of 18 New
      Kevex Instruments 130017-00E ADC Analog-to-Digital Converter VME PCB Card Used
      Tencor Instruments 294420 Motor Distribution S8000 PCB Board Rev. AC KLA Used
      AMAT Applied Materials 0100-90021 Arc Supply Motherboard PCB Card XR80 Used
      RK Industries MDV-5003V1 Ontrak Modular Wet Bench Dump Valve RK-MDV MDV-5003 New
      AMAT Applied Materials 0010-70323 Slit Valve Door Assembly Kalrez New Surplus
      Kevex Instruments 51300663 Pulse Processor XRF1 XRF2 PCB Card 50300270-D Used
      AMAT Applied Materials 0040-02954 Mounting Spacer Ring DTCU Used Working
      Edwards 99277 Motor and Pump Assembly Baldor 33E596W857 MSKCP71HPBOC Used
      Gespac 03-322711A03 GESOUT-3 PCB Card 9505 ASM Epsilon 3200 Used Working
      Keyence VT3-Q5S Touch Panel Interface with VT3-ES Hirata HQPLP-2DHP Used Workin
      Asyst 04630-003 Load Elevator Indexer Hine GaSonics 94-1175 Aura 2000-LL As-Is
      Vicon 1303-1016-51-01 Pan and Tilt Drive Head VIST35 Vistar Used Working
      Jenoptik ILFA 013501-063B 013501-130-17I1 013501-064-25 PCB Used Working
      Lam Research 810-17012-001 Heartbeat PCB Board Rev. D Rainbow 4428b Used Working
      MKS Instruments 623A13TBE Baratron Capacitance Manometer Used Tested Working
      Brooks Automation 013501-069-25/03 Circuit Board PCB 0201 Brooks Fixload V6 Used
      Micro Memory MM6326/8u PCB Card Rev S KLA-Tencor 712-404561-00 5107 Overlay Used
      Vicon V33OAPT Pan and Tilt Drive Head Vistar Used Working
      Hitachi 560-5510 MHVC High Voltage PCB Board S-9300 CD SEM Used Working
      Asyst 04630-004 Load Elevator Indexer Hine GaSonics 94-1174 Aura 2000LL As-Is
      STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Reseller Lot of 6 Used
      Nikon 4S005-145 Optics PCB Set 4S007-318 Used Working
      Therma-Wave 18-009471 Sensor Arrary Detector Optics Rev. C Opti-Probe 2600B Used
      Future Display Technology FDT18C05FP 18" Touchscreen Monitor Panel Used Working
      Hitachi 560-5535 Stage Control Power Supply PCB DCPS(ELV) S-9300 Used
      Edwards D04847000 Active Ion Gauge Controller AIG-E-B2E Used Working
      Sanken Electric MLT-DCBOX5 Power Supply TEL Unity II U2-855DD Used Working
      Data Translation 05206 Control PCB Card Rev. F Opti-Probe 2600B Used Working
      Edwards U20000937 Vacuum iM Interface Module MCM & AIM Used Working
      Therma-Wave 18-009252 Sensor Optics Module Rev. A1 Opti-Probe 2600B Used Working
      Hitachi 560-5529 EVAC Control Power Supply PCB DCPS(E) S-9300 SEM Used Working
      Cosel MMC100U-2 DC Power Supply lot of 11 tested working
      Edwards 655AB Barocel Pressure Vacuum Sensor Reseller Lot of 5 Used Working
      Digilab Memory Board 012-0416, 882-0416 Rev. B Used Working
      Semitool Air Cylinder ST72068-111 Lot of 3 New
      Dynatronix FWD Reg. Board 138-1274-44 Used Working
      CKD 4SB019-C3 Pneumatic Manifold FL139571 2724 Lot of 3 Used Working
      AMAT Applied Materials 670560 CMOS CPU Master PCB Card Rev. C Used Working
      Applied Ceramics Double Cup Coil Support 91-01125A Lot of 11 New
      Semitool 220T0007-501 Hi Torque Plating Motor Assembly Used Working
      Dynatronix 138-1274-482 FWD REG Board PCB Card Used Working
      MKS Instruments 223B-11138----S Baratron Differential Transducer New Surplus
      Emoteq BLDC Motor Amplifier HS01001-A02-HGE New
      Dynatronix 138-1203-02 Timing Board PCB Card Used Working
      AMAT Applied Materials 0100-90890 Spin/Scan Interlock PCB Card Rev. B XR80 Used
      DIP Incorporated 15039603 Bi-Directional Digital I/O CDN396 PCB Card New Surplus
      Nikon 4S018-058 Vacuum Switch PCB WL3PNL2 NSR-S202A Used Working
      KLA-Tencor 0041292-000 AIT/UV Laser Optics Assembly 0041281-000 Used Working
      Daifuku AGHHDA11 10.5" Operator Interface Display Panel Used Working
      Chem-flo Equipment, Inc Shuttle Assembly CP20-250
      Control Concepts AC Noise Filter KC-IE-110
      Entegris 1" PFA Hand Valve, KC-HFM-16F
      MKS Instruments 4540-0054 Heater Jacket HPS
      TENCOR INSTRUMENTS 228443 Surfscan SWIFT/Station Plus
      Parker GT6-L5/8 GT6 Gemini Stepper
      PHASETRONICS P1050-X3 Power Control System
      GLI INTERNATIONAL E63F1N1A1A1NNN Model 63 Electrodeless Conductivity Analyzer
      ANIMATICS SM3430K Smart Motor Version 4.12
      GE FANUC HE693THM449C Thermocouple Input
      COHERENT INNOVA 0169-628-00A Laser Emission, Model I300C
      MILLIPORE  11" Filter Cannister ASSY
      SWAGELOK 308701 Flange Lower, MCU
      ASM 95573-45037 MOTOR ASSY,V-DRIVE
      ASM 1018-415-01 ASSY-CA-LASER SENSOR
      A-B Allen Bradley KC-1492-IFM Feed Through Interface Module
      AMAT Applied Materials 0200-00177 Insert Ring SI/QTZ 200mm Silicon Flat Solmics
      TERADYNE 885-206-00 TEST PROBE CABLE
      ATMI CAMP-000235 CANISTER
      Lam Research 718-094523-282 ASSY ELECTRODE, ESC 8"
      MKS Instruments 253A-11102 Throttle Valve
      Honeywell TSVCTISET-07935 4N5 Titanium Vectra Coil 5 Cups 5 Pins TA2004 AMAT New
      Varian E11050400 INTERFACE ASSY
      Edwards PUMP HOOKUP KIT, WITH MANUAL IQ DRY PUMP KIT
      Teradyne 961-128-00-S Power Supply
      MILLIPORE W63N56RR6 ALL METAL GAS FILTER INLINE GAS FILTER
      ASM 1085-882-01 WARNING LABEL/SHARP EDGE
      ASM 1083-866-01 CANISTER BOX / GF
      ASM 2901250-21 PWBA GAS INTERLOCK
      ASM 1055-986-01 TC-TYPE K SPRING LOAD W/STRAIN/TFL
      ASM 02-195470-01 ASSY-CA EMERALD TO XO3 ODS J400
      ASM 02-327348B01 ASSY-INJECTOR INTMD PLATE
      ASM 16-404496-01 NUT-LOCKING SUSCEPTOR
      ASM 02-332411D01 ASSY-PADDLE-FORKED END EFFECTOR
      ASM 1006-331-01 SEAL KIT/IRF-09081-4-03
      HP Hewlett-Packard 1660CS Logic Analizer / Oscillscope
      UNIT Instruments UFC-1200A MFC Mass Flow Controller 200SCCM CF4
      ASM 1071-466-01 GEAR-HELICAL RH IDLE
      ASM 1061-994-01 VALVE-MANUAL-3 WAY-M/M/M UJR
      AMAT Applied Materials 0021-04242 Shield, DK Space Cover (ALPS)
      AMAT Applied Materials 0021-04644 Lower Shield 8" STD Ti
      SANTA CLARA PLASTICS 8700B Process Controller
      SWAGELOK 6LV-DFVBW8-GR Valve, 1/2" BW 1/4 Turn
      PROGRESSIVE TECHNOLOGIES INC. 4301C-DGLS Sentry Supervisor
      Lam Research 853-024350-100-2-230S Liquid Tank SP Gas Panel ASSY
      AMAT Applied Materials 0020-26478 Shield, Upper PVD Tungsten
      Lam Research 715-390129-001-E2 Chamber Plate
      White Knight AP-200 Pump Rebuild Tool Set KC-AP20-170
      Lambda PDC60-300 Power Supply Card PCB HAL-02-1474 Rev. A Used Working
      Omron CVM1-PR001-E Programming Console
      Axcelis 17394930 Entrance Beam Tunnel Aperture New 
      Kaijo FN515-TU001 Manual Teaching Unit Handheld Pendant TU001 Omron Used Working
      Edwards A52850000 QDP Exhaust Pressure Module Series 3
      Edwards IQ Interface Cable Install Kit
      Nikon M PlanApo 150/0.95 Microscope Objective 210/0 Used Working
      AMAT Applied Materials 0030-70085 Front Panel and Light Pen (no monitor cable)
      Brooks Automation TT1ENR2-1-TVS-ES-BROOKS6 Robot Teach Pendant Control
      EMOTEQ CORP T61105-24 / BH02301-AF02-HBE Brushless DC Motor Lift/Tilt W24V Break
      ASM 16-351197D01 Quartz Plate New 
      ASM 16-141279-01 Panel - Side 300mm Split Flow Chamber New 
      Optronics CZ-450 Remote Controlled Camera Controller
      Cavendish /  Nanonics NSOM Topaz Electronic Controller with Manual
      Nanonics APD Interface Box
      Nanonics Stage Bus with Cables
      Edwards D38617000 Digital 4-Channel Vacuum Controller 1101 Used Working
      FUJI BU-KDA 3300 Circuit Breaker 400A 3 pole 240V
      Carl Zeiss 45 24 68 Laser Power Supply Nag HeNe
      NEMIC-LAMBDA HR-10F-5 Power Supply
      Brooks Automation TT1ENR2-1-TVS-ES-BROOKS6 Robot Teach Pendant
      MOXA DE-303 NPort Server Pro 16 Port Serial Device
      ASM 16-191195D01 FEEDTHROUGH CF35
      ASM 845004344 TRANSFORMER-480V-208V, 5KVA 50/60HZ
      ASM 16-321622C01 LINE-INLET-UPPER
      ASM 02-187648D06 ASSY-CA-WFR AT REACTOR SNSR 2
      ASM 16-145091-01 BLOCK-LID-HINGE MTG-PCE-300MM
      ASM 16-328547D02 PANEL-FLOOR-GAS INLET-BOT W/T-NDOPE
      ASM 02-188792D01 ASSY-LEVER-LOWER LAMP BANK
      ASM / KLK 16-325607C01 PLATE-BASE TACH MOUNT
      ASM 02-333959-01 ASSY-VIDEO PORT EXP/PWR SUP-SMIF
      ASM 1019-638-01 RPK-CVRSN CHECK VALVE
      ASM Cable Assy Interconnet PWR to PM 1080-794-01
      ENENSYS NN6-1161RF-F Digital Broadcast Network Adaptor
      ASM 16-188094-01 SUPPORT-SUSCEPTOR H2 300MM
      ASM 02-333876D04 ASSY-CA MFC BD TO SI REAC DSPL
      ASM 16-333477B01 BLOCK-SMIF DOCKING
      ASM 54-106931A53 CONTROLLER-PLC POWER SUPPLY 120/240VAC
      SUNSHINE FILTERS 10161K8 FILTER FIBERGLASS FOR PD VACUUM LINE
      Muto Technology MR-23425 SS Cryo Shield 4179912-0001 MRC D121510
      KING INSTRUMENT 7331763052W Flow Meter
      ENTEGRIS 201-46-01 Valve - 1/4TM 3GEN 2W 1/4 3/8FT
      AKRION SYSTEMS LLC 1036023-0889 VALVE DUMP THICKWALLED QUARTZ VERTEQ D10
      COORSTEK 3492463R Boat Contiguous SIC CVD Coated Hot HCI
      DWYER W36K 3002AV Photohelic Pressure Switch/Gage
      SIEMENS 6ES7 322-1BH01-0AA0 S7-300 Digital Output Module
      VERIFLO / PARKER 54015858-299999 IR5001SK4PBX4B Regulator
      AMAT Applied Materials 1080-00442 Motor Gear Type 20-PSSH-0 Baldor 23A345Z173G1
      SCRANTON PRODUCTS 758 Tank Staging
      Verteq MD-ZA-03 MD Frequency Generator Model 1096736.1
      TGM INCORPORATED MR-AV100-2LO Pyewch Gate Valve Heater Jacket
      AMAT Applied Materials 3860-90041 Tubing Flex 1/4x6" FM VCR
      SIMPSON ELECTRIC COMPANY 37001 Current Transducer
      FORTREND ENGINEERING 116-5007-5 A-E Controller
      V-Tex 3D80-001812-V1 Door Slit Valve Rollcam TEL Tokyo Electron T-3044SS Used
      Fine Series Stainless Steel Valve KC-FUB-71-19.05-CJN
      Hayward Actuator for drain Valves KC-PHS15
      AMAT Applied Materials 0620-02279 Power Cord 18 AWG 115VAC 24" New
      TEL Tokyo Electron MD-9253092 COVER ASSY UTILITY
      AMAT Applied Materials 0200-10447 SNT INSERT RING
      TERADYNE 876-142-00 HOOD ASSY FAN
      AMAT Applied Materials 0200-35335 Ceramic Capture Ring
      Lam Research 715-330889-002 Ring Ground Chamber
      Tylan FC-2952 PRESSURE CONTROLLER 200 SCCM
      Varian E11135550 MAPPING ASSY WAFER THRU
      Novellus Systems 04-121341-00 KIT CHAMBER HEAT SHIELD SPD-SX
      Edwards U20000953 MCM Interface module
      KALREZ K649106-9100VP SLIT VALVE DOOR
      Brooks Automation 001-1865-01 Serial Cable Assembly New
      MKS Instruments 253A-11102 Exhaust Throttle Valve 3" Flapper Used Working
      Lam Research 799-550690-001 CA, RS232, MAG7 Serial Cable Assembly New
      SANWA MMP10 PUMP
      MITSUI CHEMICALS Icros Tape 230mm x 100M (Backgrinding Wafer Type)
      Mitsubishi FX2N-2LC Programmable Logic Controller PLC MELSEC
      ASM 54-125030A06 / Kytola SLM3-GC45-GP Sealing Liquid Flow Meter.
      Brooks Instrument 1358EZ144N/C Flow Meter
      TOPAZ 01706-01P3 Line 2 Power Conditioner 500 VA
      ASM 16-350907D01 Quartz Arm 150mm New 
      SIEMENS 6ES5 095-8MB02 Simatic S5-95U Digital Controller
      Kenwood CS-4125 20 MHz Oscilloscope
      Optronics LX-450Z Remote Controlled Camera Controller
      Nanonics Imaging LTD APD Counter & Power Supply
      Nanonics NSOM Topaz Interface Module
      PANASONIC MSD021A1X AC Servo Driver
      HP Hewlett-Packard HP3235 Switch Test Unit - Missing Power Supply
      Kokusai Electric CQ-1500A CQ-1500A 01 Digital Direct Controller w/Cable 1 Set
      MICRON FORCE INSTRUMENTS RTP RealTime Prober Controller
      Carl Zeiss LGK 7628 Laser Helium Neon Laser
      HP Hewlett-Packard 1300T / C2550T Optical Disk Drive
      SANWA HYDROTECH MWT 151 / IC411/IP55/EFF 84.0% Pump 200V
      ASM 16-193303-01 PAN-DRIP-LV CABINET
      ASM 16-190188D01 LID-OW GAS LINE BYPASS
      ASM 16-169538D01 PLATFORM-CASSETTE 200MM
      ASM 16-185279D01 MANIFOLD-RP PLUMBING-TOP SVC-RH
      ASM 16-147882-01 GUIDE-FLOW 300MM ATM CHAMBER LEFT SIDE
      ASM 02-326352D01 ASSY-SUSCEPTOR RING-XYCARB-75/150MM
      ASM 16-323698E01 ENCLOSURE-LOADLOCK-AUTODOORS
      ASM 16-143347-01 REFLECTOR-SPHERICAL-300MM-2000W
      ASM 16-333698B01 SUPPORT-EXT ARM-90 DEG ROT-LH
      ASM 1054-556-01 RFK-ELEVATOR SENSOR ENCLOSURE
      ASM 1005-192-01 ASSY-CA SRC5 HLS CTRLR TO CTRL OT TC
      KIKUSUI COS5100 Oscilloscope
      VCOM INC. UCM45401B QAM Modulator
      ASM 1011-004-01 ASSY-CA PRESSURE SWITCH 40 PSI
      Huntington A00-1549 Turbo Throttle Valve Used Working
      CUTLER HAMMER AF91AGO C003D Adjustable Frequency Drive
      TREBOR SS85 Surge Suppressor
      Muto Technology MR-19404-P Long Door Shield Plasma 4179915-0001 MRC D124820LSP
      ASM 04-323034A01 Kit Elevator O-Ring Gasket
      XYCARB CERAMICS 10051650 Hanger Quartz 11.5"
      ONEAC 010-224 CSD31150 Power Supply, 14.4KVA, 60Hz, 208 Delta
      D&R ENTERPRISES DR0020-17045 14-Lamp (No Lip) Corner Reflector
      T A KYSER COMPANY INC 74-2460KRT18-136 Regulator Special Hand Input
      VERIFLO / PARKER 54015859-29999 IR5001SK4PXX4B Regulator
      Lam Research 715-007469-002 Anode, 4-Pin, .031, Clear New
      SMC NVBA4100 Boost Regulator
      SEMICONDUCTOR PROCESS EQUIPMENT 3198 Horizontal BreakAway Arm
      INTERGRAL APPLIED TECH 0303001A Hanger Stealth Dual
      MKS Instruments 4530-0019 Heater Jacket, 3 x 4"
      AVIZA 919505-001 Roller, Crown, 1.105 Dia-APL
      Lam Research 853-001198-003 SEMIGROUP TEXAS 4648699-0002-REF Gate Inner Etch
      AKRION SYSTEMS LLC 1067120.1.8 LID SOLID CLEAR VERTEQ DRYER
      Keyence BL-741 Laser Barcode Reader
      ENTEGRIS DE-12588 Carrier Wafer, 150mm Cont, Supersic-3C
      LOREZ PIEZOCON NRTL FF129-06-0100 Temperature Controller
      GLI INTERNATIONAL P53A2A1N Model 53 pH/ORP Analyzer
      VAT 3552640 ASSY Valve Gate Pneumatic
      LAMBDA ELECTRONICS LFS-46-5 Regulated Power Supply
      SMC 2-39-22165 Pressure Regulator, Spec. INA-13-810-02
      PARKER VERIFLO 43700457 High Flow Diaphragm, Model 935FS8FFXY
      DYNAMIC TECHNOLOGY  Weight Standard Set
      ASM 95573-45039 AMPLIFIER,MODULATED,IR,SENSOR
      ASM 95573-45036 ASSY,MOTOR,POD ADVANCE
      ASM 73007-00015 ASSY / PRESSURE SWITCH
      ASM 73007-00661 ASSY/PLC/CPU UNIT with initial setting
      ASM 2406659-01 HEATED JACKET INTERMED 193
      ADVANTECH IPC-610P4-30ZH Industrial Computer
      ASM 75-112661A14 CASE-P2000 RC-25x22x20-W/FOAM
      ASM 16-404502-01 SHIELD-HEAT-UPPER EXHAUST
      ASM 96-125485A23 ASSY-CPU- LOAD PORT
      ASM 1021-472-01 REDUCER-CONICAL-NW40 TO NW16-LVHCR
      Swagelok 6LVV-MSM-DHE-3-P-C-18333 VALVE-PNEU 2WAY ASM 1019-557-01
      ASM 73008-71702 ASSY/TRANSPORT TUBE/O
      HP Hewlett-Packard 16500C Logic Analysis System
      HP Hewlett-Packard 1670G Logic Analyzer
      ASM 2600315-01 ASSY Element Control Panel
      ASM 1112-185-01 HEATER JACKET-PTFE-TRANSPORT TUBE TTM153H-ASGL047-01
      AMAT Applied Materials 21-06569 Liner, Ring Insert
      AMAT Applied Materials 0020-24531 PC Shield, Adapter 8" G12
      Pall AB1F0023EH1 Filter, .2M Chem, Inert Pall
      AMAT Applied Materials 0021-08970 Nickel Lock Ring
      MKS Instruments 99D0405 HPS Heated Vacuum Valve
      AMAT Applied Materials 0200-09617 Shield Ceramic
      AMAT Applied Materials 0021-20788 Lower Shield ESC Single
      Lam Research 839-465013-001 Liner Chamber VAT65 TRANSN MANF
      Lam Research 715-330164-008-E1 Chamber Plate
      Brooks Automation TT1ENR2-1 Robot Teach Pendant Used Working
      HERAEUS / SVG 56430 Quartz Furnace / Process Tube Vert.
      SONY UP-5500 Color Video Printer
      BRISTOL BABCOCK INC 02-321145C03 Pressure Switch 12 PSI Purge Panel
      DIGITAL DV-31ATB-A MicroVAX 3100
      C&J Engineering / Dainichi CR150A-03F ROTOR 6IN FOR 26 WAFER CASSETTES
      HVA 11210-0203RS-001 Flange
      SLOAN 1000A Profiler Calibration Standard
      MILLIPORE  13" Filter Cannister ASSY
      RBC BEARINGS KA020CP0 Bearing
      ASM QIH-480-6000 ASM, QIH-6003 Quartz Infrared Halogen Lamp
      ASM 73008-01104 ASSY/50A-E/PCV TO PUMP/RC3
      ASM 73007-00013 ASSY / MANTLE HEATER
      ASM 73055-70281 TOP PLATE
      ASM 2975890-01 ASSY TOOL BUFFER BTM
      ASM 2916983-01 RETRO KIT CABLING
      ASM 2946777-01 ASSY SPOOL PIECE BEOL RC2
      ASM 04-327170A01 KIT-CIRCUIT BREAKER
      ASM 02-144262-01 ASSY-SENSOR-OVER TRAVEL-INVERTED
      PureAire Monitoring Systems GS470-DF SENSOR-HCL- 0-15 PPM ASM 77-123776A17-R
      ASM 16-404988-05 RING-FLOW CONTROL-EMER-650C
      Swagelok 1026-998-01 BODY-VALVE 2WAY NC 1 1/8" C-SEAL HTM UHP ASM
      QuantumClean 16-193888-99-R SUSCEPTOR-300MM GAMMA HYBRID NON-PSVT ASM
      Leica 44 40 34 Pl 10x/25 Microscope Objective
      ASM 1112-187-01 HEATER JACKET PTFE ETCH GASLINE
      ASM 53-115832A18 REGULATOR-PRESS .250 FVCR w/GAUGE TESCOM 12-1A01ABDD-076
      ASM 1123-034-01 HEATER JACKET PTFE 1 PC TUBE FINE TECHNOLOGY TTM15XH-ASGL288R1
      Siemens 3RT1065-6AM36 CONTACTOR-480VAC 3P 225A 24VDC COIL ASM 1024-426-01
      SANKI PFC-110A VVVF Controller
      ASM 2602792-21 PWBA Motor Drive Dual
      PARABILIS TECHNOLOGY PA709018 Tong Ring Lift 200mm
      PHASETRONICS AMI#0015-09091 / P1038A #108264 ASSY Lamp Driver
      NC SERVO TECHNOLOGY HDX115C688 Servo Motor
      Lam Research 715-11825-001 Chamber Plate
      Tegal 37-389-001 Mirror
      SBS Technologies cPCI-100-BP IP Carrier Card PCB 91415171 AMAT 0660-01876 Used
      Echelon 73000 SLTA 2 IQ Serial Interface Module LonTalk Adapter New Surplus
      TEL Tokyo Electron DS2105-120533-11 Quartz Furnace Process Tube SVG
      Fujikin Inc. 3/4 Auto Valve Stainless N.C. KC-FPR-71-19
      Entegris 3/4" PFA Hand Valve KC-201-41-01
      Entegris 1/4" Auto valve w/check valve KC-202-69-01
      AMAT Applied Materials Outer Gas Nozzle DPS Metal
      Edwards N03615000 CABLE 208V, PO17 KIT
      ADCMT 7451T Digital Multimeter 763100386 
      Lam Research 715-11986-018 ASSY ELECTRODE, ESC 8"
      AMAT Applied Materials 0200-35851 POLYMIDE PEDESTAL ASSY
      APTECH AP3625SX0100-35267 Manual Valve
      MKS Instruments 328A-13114 PRESSURE TRANSDUCER
      Dip-Proofing Technologies DPI52S25 208 Voltage Dip-Proofing Inverter DPI52S New 
      AMAT Applied Materials 0270-20004 TOOL CALIBRATION ORIENTER
      Novellus Systems 06-2568 Centering Ring 200mm Platen Wall Isolation
      Brooks Automation TT1ENR2-1-TVS-ES-BROOKS8 Robot Teach Pendant 112017 Used
      Donaldson P510172 POV Purge Hood Filter Compress Ambiant air Filter
      MKS Instruments 122BA-0010EB Baratron Pressure Transducer Used Working
      PORTER CO. 10019609 Manifold Assembly Drain General Tree Acid
      Leitz Wetzlar EINSTELLFERNROHR Centering Phase Telescope
      Mitsubishi FX2N-48MR-DS Programmable Logic Controller PLC MELSEC
      Mitsubishi FX2N-48MR-DS Programmable Logic Controller PLC MELSEC
      SUPPORT SYSTEMS INTERNATIONAL AS-304 Robox Station Doubler Automatic Keyboard
      ASM 16-351198D01 Quartz Plate New 
      ASM 16-350115E01 Quartz Plate New 
      MOELLER NZM9-250 Circuit Breaker
      Micron-Aire / Ted Pella Inc. Desiccant Box 19.25" x 19.25" x 18"
      Nanonics Signal Processing Module
      Fast ComTec MPA/SBB 9805163 Multi Parameter Small Bus Box
      PANASONIC MSM021A1E Servo Motor
      IRIE KOKEN CO AV53 Angle Valve (Pneumatic) I.D. 2-1/8" / 52mm
      MKS Instruments 121AA-00010B Signal Conditioner w/Pressure Transducer
      HP Hewlett-Packard 34510B 8 Channel Power Actuator
      Spectra-Physics 7910-Y4-106 Laser
      HP Hewlett-Packard AGILENT 2638B WS-70RHOSTCPU02 Industrial Workstation 700i
      TEL Tokyo Electron H142909 Cover Assembly Left and Right
      IPC ELECTRONICS INC EC-1010BSD 1U Server Chassis
      Mitsubishi FX2N-32MR-DS Programmable Logic Controller PLC MELSEC
      ASM 61-106247A47 Parker PX34-003-S2 GEAR HEAD-NEMA-34 3:1 IN LINE 496 IN LB
      ASM 16-181811-01 EXTENSION-HOSE-RP
      ASM 3552985-01 / TOSHIBA 3552985 Rev A SUSC-150MM-CONCAVE-.6.65OD-COVALENT
      ASM 16-144130-01 BRACKET-LOTO/PILOT GAS PANEL-WHM
      ASM 16-332419D06 SUSCEPTOR-XYCARB-150MM
      ASM 16-329041B02 SPACER-UFC 3160A MOUNT E2 COLOR 11
      ASM 16-332419D04 SUSCEPTOR-XYCARB-100MM
      ASM 02-187791D01 ASSY VID/TEMP CTR PWR LH PH2
      ASM / Parker 61-106247A41 GEAR HEAD NEMA 34 / PX34-003
      ASM 16-170586D01 SHAFT-EXTENSION
      PHILIPS PM5418TX Color TV Pattern Generator
      ASM 20-186598C01 TOOL-WAFER CENTERING FEI
      ASM 02-146040-01 ASSY-H2 BYPASS SENSOR
      ASM 16-178672D01 SUPPORT-LARGE DIA SUSCEPTOR
      ASM 02-333428D01 ASSY-REG-PASS THRU CASS-100/200MM
      ASM 1076-325-01 REGULATOR-IGS PRECISION LOW FLOW
      GME / BAYSIDE 762168 / NE42-005-GME Type MT4218-S DC Servo Motor Precision Gear
      AMAT Applied Materials 0040-94526 Clamp, 2.4 R/H Filament
      ASM 1019-763-01 ENCLOSURE-UPPER ELECTRICAL
      US DIGITAL DESIGNS INC 000-5029-08 MOTOR LIFT MODEL 93 7100-93 ORB
      ASM 1004-705-01 TRANSFORMER-5KVA 3PH 480V PRI 208V SEC
      T A KYSER COMPANY INC 74-2460KRT18-135 Regulator Special Left Hand
      VERIFLO / PARKER 54012683-2 IR5001S2K4PXX4B Regulator
      KALRES SPECTRUM O-Ring Nitride Tub Kit
      Entegris DE-32387 DE-32392 Carrier Baffle ASSY 3CX(x1) 3CX(x3) SUPERSiC New
      Entegris DE-18604 / DE-18603 Carrier Baffle 3C Duf(x1) SUPERSiC New
      SCP Global Technology 4228401 1100 Dump Rinse Controller
      TGM INCORPORATED MR-THRT100-2LO-Rev2 Heater Jacket
      A-B Allen Bradley 1764-LSP MicroLogix 1500 Processor Unit
      PHD ML219770-REV-A Cylinder CE
      C&J Engineering CR150A-03LF ROTOR 6IN FOR 26 WAFER CASSETTES
      Materion Microelectronics ZTH-7179 Ti Titanium Target for Nordiko Used Working
      FESTO D6P-40-810-PPVA-B LINEAR DRIVE New
      Entegris KC-HFO-16F 1" Auto Valve PFA, N.O.
      A-B Allen Bradley 1746-0B32 PLC 32-Channel Digital I/O Output Module SLC500 New
      E17324100 FOR USE ON VISTA HC ONLY
      AMAT Applied Materials 0200-00177 Insert Ring SI/QTZ 200mm Silicon Flat M.E.C
      AMAT Applied Materials 0200-00849 L Shaped Shadow Ring 63RA EMAX Kumkang
      AMAT Applied Materials 0200-39834 ESC ASSY 195MM
      AERA FC-980C FLOW CONTROLLER
      MKS Instruments 627A-13614 Baratron Pressure Transducer Type 627
      AMAT Applied Materials 0250-35341 ASSY PROCEDURE ION GAUGE, CONVECTION
      Varian E17096640 FRAME WINDOW DOOR EL
      Novellus Systems 02-147430-00 PET MODULE TEACHING JIGS
      Lam Research Ring Clamp Upper Electrode 715-028552-001 New
      Anelva M-430HG Ionization Gauge
      MKS Instruments 750B11TCE2GK Baratron Pressure Transducer
      Lam Research 715-330889-002 LAM 9600 Ring Ground Chamber
      Kokusai Electric PMC-1000 Program Loader Gameboy Teach Pendant
      MKS Instruments 100996755 Pneumatic Bellows Valve HPS
      ASM 02-196601-01 Assembly Cable Reactor PLT to SC New 
      ASM 54-106618A57 Controller SCR 16A 200VAC 0-10VDC In
      RIGAKU 6960-9802 / S24L03 300mm Wafer Jig WX300
      MASTR III 188D5452G1 Multiple Receiver Shelf
      ASM 16-350114E01 Quartz Plate New 
      ASM 02-146554-01 Assembly Dolly Gas Module New 
      RIKEN KEIKI GD-K8DG Gas Detector
      Optronics LX-450 Remote Controlled Camera with D3130 Camera Head
      Olympus 60660 DEI-750D Remote Controlled Camera with 54158 Camera Head
      COSMOS PS-2DPNS Gas Detector
      IRIE KOKEN CO AV71 Angle Valve (Pneumatic) I.D. 3-1/4" / 83mm
      PANASONIC MSD5A1A1X AC Servo Driver
      GIGA-TRONICS 80302A Power Sensor 0.01 - 18GHz
      Kokusai Electric DN-130L Overheat Protector
      Spectra-Physics R2-8 Laser Power Supply
      Tektronix 7904 Oscilloscope with 4-Slot Mainframe
      IPC ELECTRONICS INC EC-1020BSD 2U Server Chassis
      MKS Instruments SA86527-R Power Distribution 208 3 Ph IN / 120V Out
      ASM 16-184983-01 PIN-QUARTZ-ANGLE-NO STEP
      ASM 16-190146D01 CONTAINER HIG SOURCE
      ASM 54-106618A48 / DEPRO A600 CONTROLLER-O2 SENSOR-PWR/SIGNAL
      ASM 16-145385-01 MANIFOLD-LH-UPPER LAMPS-XL
      ASM 02-333414D02 ASSY-PANEL LOADLOCK ACCESS CONTROL RH
      ASM 16-185408D01 ENCLOSURE-H2 DETECTOR-E3000
      ASM 77-123776A40 SENSOR-H2-0-4%
      ASM 16-145384-01 MANIFOLD-RH-UPPER LAMPS-XL
      ASM 16-401727-01 PLATE-PADDLE-125/150 MM
      ASM 1003-894-01 PLATE-PEDESTAL
      ASM 02-404793-01 ASSEMBLY-CABLE EMERALD RF SIGNAL POSN 1
      VCOM INC. A3000-2F9-3C4-3C8 Agile 3000 CATV Modulator
      ASM 02-333658D02 ASSY-CA-WFR SNSR l/F BD TO LL2
      ASM 02-352076D01 ASSY-QTZ TC REAR THK WALL
      ASM 02-330608D01 ASSY-POWER SUPPLY AUTO DOORS
      MKS Instruments 141AA-00010BB-S Baratron Vacuum Switch Type 141
      PRAXAIR MRCFCU0003225 Target, Copper, CS-3N5, SPA10, Monobloc, WW4019
      Muto Technology MR-23322 Gate Valve Shield 4157046-0001 MRC D123611-A
      FURON 1102064 Valve, 1/4" C-NC-C TEF. T2
      METRON A126473 Shield Parts, Baffle
      XYCARB CERAMICS 10320565 Quartz Tube 27.5"
      SAINT-GOBAIN 3492512R Boat Contiguous SIC CVD Coated Hot HCI
      D&R ENTERPRISES DR676241 Reflector End
      MCDOWELL & COMPANY 1100 Aluminum Spindle Bottom PL Mod
      AMAT Applied Materials 0020-99050 Electrode E7
      Lam Research 715-001673-006 Electrode Lower 6" New
      SCRANTON PRODUCTS 757 TANK STAGING MF-757 19"L X 2-1/4"W X 15
      INTEGRAL APPLIED TECHNOLOGY IN 310-00824-00 CABLE MEGASONIC AMP CABLES
      Muto Technology 500005 RING CLAMP 150MM OCR 4041778-0001
      WATLOW DC10-23C0-S000 Solid State Power Control
      NAGAND KEIKI GC97-111 Pressure Transducer Gauge 24VDC
      MKS Instruments DMA12TGAEANN633 Pressure Transducer ASM 91320-52065
      Perkin-Elmer N305-0126 FE Lumina HCL
      OXFORD 1100-098 Link ISIS X-Ray Controller
      TOSHIBA PFC-110A VVVF Controller
      GLI INTERNATIONAL E53A2A1N Model 53 Electrodeless Conductivity Analyzer
      MKS Instruments 124AA-0100BB Baratron Type 124
      Lam Research 715-011640-006 Ring Focus Anodized, Semi 6"
      AET TECHNOLOGIES 970189 Hydrox Furnace 500 Watts
      Verteq 1070367-3 Rotor, A194-60MB-0215, H-Bar-Out
      RKC C2001-4F32C-V REX-C 2000 Temperature Controller
      Varian E17170014 Graphite, Housing
      ASM 95573-45034 CABLE ASSY,POD PRESENCE SENSOR
      ASM 1007-331-01 INSULATOR GAS INLET/A
      ASM / Baytek 830065865 / 51-907-00 VIDEOCARD BAYVIEW 72 OS2
      ASM 2945398-01 MOD ASSY GATE VALVE
      ASM 2381982-01 HEATED JACKET BAR-134
      ASM 16-180366B01 RING-MOUNTING THROUGH BEAM
      ASM 1016-681-01 ASSY-CA P802 TO TERMINAL BLOCK 5
      ASM 96-125485A21 ASSY-LATCH KEY- LOAD PORT
      ASM 02-140408-01 ASSY-CA PWR MOD CT TO TM/SYS CONT BHD
      ASM 1015-081-01 KIT-VESSEL ADAPT
      ASM 04-403954-01 KIT-P8300 PLATFORM NOISE REDUCTION
      ASM 1038-954-01 COATED TRANSPORT TUBE B
      Tektronix TDS520A 2 Channel Digitizing Oscilloscope
      MKS Instruments 722B11TCD2FA Baratron Pressure Transducer ASM 1048-028-01 
      ASM 1110-317-01 CABLE DEVICENET REPEATER TURCK REP-DN-DROP
      ASM 1078-277-01 COVER-INSULATION GATE VALVE
      AMAT Applied Materials 0021-04644 Lower Shield 8" STD Ti
      AMAT Applied Materials 0021-06569 Liner, Ring Insert
      VERIFLO 43900886 Regulator Valve
      Novellus Systems 02-00221-02 ASSY, Pedestal Lift
      SERVO DYNAMICS HR115C6-88S Servo Motor
      PROGRESSIVE TECHNOLOGIES INC. 4301G01 Sentry Supervisor
      AMAT Applied Materials 0020-47722 Shield, Upper PVD Tungsten
      Agilent Technologies 1670G Benchtop Logic Analyzer Used Working
      Lambda PDC60-300 Power Supply Card PCB HAL-02-1474 Rev. B Used Working
      HERAEUS / SVG 42313 Quartz Furnace / Process Tube Liner
      AMAT Applied Materials 0100-907710 Spin Scan Error PCB Card XR80 Used Working
      AMAT Applied Materials 0100-91059 Spin/Scan Motherboard PCB Card XR80 Used
      AMAT Applied Materials 0100-90890 Spin/Scan Interlock PCB Card Rev. C XR80 Used
      Schumacher 1730-3013 Vacuum System Control Panel Assembly Used Working
      AMAT Applied Materials 0100-99043 Spin Control PCB Card 0120-93468 XR80 Used
      AMAT Applied Materials 0090-90240 Data Aquisition Module PCB Card XR80 Used
      Novellus Heater Blanket Controller Eurotherm 808 Concept II Altus used working
      Teknic SST-3000-829 Digital Servo Drive Delta Design 2100840 Lot of 4 Used
      AMAT Applied Materials 0020-60810 Arc Spray Inner Shield Copper Refurbished
      National Instruments PXI-8175 Embedded Controller PCB Summit ATC Handler Used
      AMAT Applied Materials MA-0190-14415-01 Minienvironment Pressure Assembly Used
      Nikon 4S019-029 Circuit Board PCB PRE1_I/F NSR-205C Used Working
      Electroglas Wafer End Effector Assembly 248057-001 200mm 4085X Horizon Used Work
      Electroglas 250012-002 TC Controller III PCB Card 200mm 4085X Horizon Used
      Hitachi 568-5509 DEF-PS Power Supply PCB Assembly S-9300 Used Working
      Nemic Lambda YM-06-1348 Optical RX Bank Power Supply Used Working
      Therma-Wave 18-009941 Power Supply Rev. C Opti-Probe 2600B Used Working
      Newport Z598B Servo Driver Automation Amplifier Z1 Z2 Z3 Used Working
      Nikon 029506 Ocular Lens Eyepiece Microscope Assembly Used Working
      AMAT Applied Materials 0225-34794 Gas Distribution Sputter Plate New
      Motorola STLN6398GC SBC Single Board Computer PCB Used Working
      Motorola STLN6491DA SBC Single Board Computer 91614-02-A Used Working
      Motorola STLN6491DA SBC Single Board Computer 91614-01-A Used Working
      Prometrix Corp. 36-0273 Operator Interface Board Rev. A Used Working
      nView Corporation 103018 Video Processor Board PCB Card Used Working
      Cambridge Absolute Filter 2T-69516093-Z284-NDF New
      Hathaway Power Supply Board BLC02805-A00108 Used Working
      AMAT Applied Materials 0100-90044 Thermistor Gauge Control PCB Rev. U XR80 Used
      Prometrix 36-0278 Diagnostic Board PCB Rev. A Used Working
      AceCo CS33-313A 715-011597-001 Upper Electrode New
      Hepa HEPA-PLEAT II H(193/4)331/4EIIUF21/2S Filter 33.25" x 19.75" x 6.25" new
      NOW Technology SR4BBAFB-050309 Smart Probe Press w/ Relief 4L New
      Applied Ceramics 91-00787A 200mm Quartz Shadow Ring AMAT 0200-10176 New
      Baldor N24A 91164064 M35A13-672 Industrial Motor New
      AMAT Applied Materials 0100-90831 Scan Clock PCB Card 0120-93033 XR80 Used
      ATMI SP4AAAAD-140304-N NOWPak Bag-in-a-Bottle BIB Dispenser New
      Huttinger C40-1098-01 Interface Board PCB Used Working
      AMAT Applied Materials 03-81830-00 PROM Board 06-81830-00 Used Working
      Prometrix X Motor Interface Board 36-0290 Rev. A Used Working
      AMAT Applied Materials 0020-25662 Screwless Clamp Shield 13" New
      Parker Veriflo 917AOPLPNC/NCS6598 Diaphragm Valve 45900415 Lot of 12 Used
      Nikon 4S014-178 Asahi Liner Pulsemotor Controller D2990 NSR-S307E Used
      Nikon 4S587-735 Motor Amplifier VCM AMP SPA156A Used Working
      Sanyo Denki PMM-BD-57035-7 Servo Card TEL Tokyo Electron 3286-000880-1 P-8 Used
      Sanyo Denki PMM-BD-57035-8 Servo Card TEL Tokyo Electron 3286-001590-1 P-8 Used
      RadiSys 859-8150-002A Circuit Board PCB ASML Used Working
      ASML 879-0362-002D 200mm Chuck QML/MSXLOD Used Working
      Kokusai T1DD1-52447 Power Relay Box Vertron Used Working
      Pentagon Technology 17-165771-02A PVD Shield Novellus New 
      Hitachi 3-830027 200mm Reflector Lot of 3 New
      TEL Tokyo Electron A128677 RF Conn Box Assembly New
      Glentek SMA8310-1 Servo Drive Amplifier Controller KLA-Tencor 003964-000 Used
      Hitachi PM02-1 Processor Control Board PCB Card New Surplus
      Hitachi 4-817835 200mm Reflector Lot of 4 New
      Daihen DCP-208-24 DC Power Supply AMAT Applied Materials 0190-36252 Used
      Agilent E7085-66556 Pin Electronics Board V1300 Used Working
      NSK EE0408C05-25 Motion Controller Used Working
      Edwards Y14023500 TMS 80mm ID 500mm Long Heater Lot of 12 New Surplus
      Tylan General AC-2S06 Throttle Valve Controller AC211A Lam 796-006238-011 As-Is
      CSF Technologies 170-12061-00 Hivac Bellow for TAZ Eclipse New
      Agilent Technologies N1224-60003 Combiner Interface PCB Card Z4382A Used Working
      KLA-Tencor 373494 PCB Circuit Board Rev 0A DISTRIB1 SP1+ Used Working
      CMC BMR2005TCG00CEA002 PM Servo Motor AMAT SemVision cX 300mm Used Working
      Sanyo Denki P20B13100FXSAN AC Servomotor Lift Assembly BL Super P2 Used Working
      ASML 38522-01 Catchup Coater 200mm SVG 90S New
      Tosoh 805-375-SG-F-312 Upper Shield AMAT Endura New
      ASML 859-0929-006 Stage Decoupler PCB A1306 200mm Stepper Used Working
      AMAT Applied Materials 0021-19471 300mm Deposition Ring Centura New
      KLA-Tencor 000056 Camera Filter Assembly CRS1010 Used Working
      Alpha Probes Gold Card 0 Probe Card PCB Standard B48-XXL Open Meters 2 Used
      Alphatronics Gold Card 3 Probe Card PCB Standard B481 100.0 Ohms Meters 1&4 Used
      Yaskawa EELQ-8ZT Scroll Pump Motor Edwards ESDP 30 0 Hours Used Tested Working
      Alphatronics Gold Card 1 Probe Card PCB Standard B481 5.20 Ohms Meters 1&4 Used
      Hitachi 1D0-0034 Interface Board PCB A4X24R Used Working
      Alphatronics Gold Card 2 Probe Card PCB Standard B481 20.1 Ohms Meters 1&4 Used
      Alphatronics Gold Card 5 Probe Card PCB Standard B481 10.0 Kohms Meters 3 Used
      Alphatronics Gold Card 4 Probe Card PCB Standard B481 20.0 Mohms Meters 2 Used
      Unaxis Quartz Glass Mantle BK221252 New
      Axiomtek SBC8168 SBC Single Board Computer PCB Full Socket 370 CPU Card Used
      Pacific Scientific BLF2924-12-0-S-002 Servomotor Semitool 17410-16 Refurbished
      Cohu 6700 Series Monochrome CCD CCIR CAMERA 6712-2000/0000 Quaestor Q5 Used
      MKS Instruments 122A-11063 Baratron Transducer AMAT 1350-01019 Refurbished
      Kniel System-Electronic CP 15.7,5 15V Power Supply Card ASML 4022.436.86091 Used
      TEL Tokyo Electron D127847 100mm Wafer Holder Assembly Metron A131536 New
      Cognex In-Sight 3400 Vision Controller 800-5809-1 D Used Working
      Nikon 2S700-386 Microscope Control Keypad 2S070-031-4 OPTISTATION 3 Used Working
      Panasonic MBDCT1507B02 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used
      Hitachi Kokusai Electric DN-150A Gate Drive Unit Used Working
      PTI Progressive Technologies 4301C-DGLS Controller Unit Sentry Supervisor Used
      PTI Progressive Technologies 4301G01 Controller Unit Sentry Supervisor Used
      ENI RFC-6-01 RF Matching Network MW Controller RFC-6 Used Working
      Progressive Technologies Inc. 12000G01 Sentry Supervisor Used Working
      MSR 99-66564-02 Exhaust Flow Controller SVG 90S Refurbished
      Omron S8PS-05005C Power Supply Reseller Lot of 11 Used Working
      VAT F03-112035/4 Slit Valve Novellus Concept 2 Altus Used Working
      VAT F03-109760/1 Slit Valve Novellus Concept 2 Altus Used Working
      Panasonic MDDCT5316 AC Servo TEL Tokyo Electron 5080-192753-11 Lithius IRAM Used
      Varian Semiconductor Equipment E11288510 Relay Interface Rev. A Used Working
      Ultrapointe 000327 Laser Power Controller PCB Used Working
      Socapel 024.7002.A Servo Drive Control PCB Used Working
      AMAT Applied Materials 0150-05013 Main AC to Degas Cable New
      Avalue LPC-1203 Multifunctional Touch Panel PC Computer Edwards Synergis
      TEL Tokyo Electron E280-000008-11 Circuit Board PCB T-3044SS ECC2 Used Working
      Kokusai Electric Relay and Timer Switch Assembly DD-1203V 300mm Used Working
      Hoya-Schott SD-330 AOFS Driver Used Working
      Nikon 4B023-312-2AN Guide Assembly Used Working
      Nikon 4S082-592AN Guide Assembly Used Working
      AMAT Applied Materials 0240-47188 FEOL 300mm Gas Spring Install Tool Kit New
      Leica 567056 Microscope Objective PL APO 50x/0.90 ∞/0 Used Working
      AMAT Applied Materials 0190-09731 BWCVD Motor Drive Assembly No Valve Gear Used
      IMS Electra COM 3 High Pin Count Test Station GPIB/Ethernet PCB Card Used
      Sti MS4300 Light Curtain Set 42687-0240 Transmitter 42672-0240 Receiver Used
      Meiden UP012/002A Battery Module TEL Tokyo Electron Lithius Used Working
      TDK MSE182H Over Current Relay PCB 4S013-302 Nikon NSR-Series Used Working
      Siemens 3RV1021-1AA10 Circuit Breaker Rack Assembly 3RT1016-1BB42 Lot of 6 Used
      Sti MS4300 Light Curtain Set 42672-0280 Transmitter 46287-0280 Receiver Used
      Siemens 3RV1021-1CA10 Circuit Breaker Rack Assembly 3RT1016-1BB42 Lot of 6 Used
      Meiden UP004 Battery Module TEL Tokyo Electron Lithius Used Working
      Berkeley Process Control ASM121-A-0/B-22-NB/10 Servo Motor Used Working
      TEL Tokyo Electron D123873 Gate Valve Adapter Rev. B Used Working
      Todd Products MTX-253-0512F AC Power Supply Lot of 3 Used Working
      CKD Solenoid Valve Manifold N4S0-T50 Lot of 7 Used Working
      Hoya-Schott SD-340 AOFS Driver Used Working
      Edwards A38128100 3 Phase Q Controller for QDP40 Vacuum Dry Pump Used Working
      Power Technology L28120AH023760 Laser Power Supply 013-4686-1 Used Working
      Arlyn Scales AR143009X9E Hazardous Environment Scale 300lbs New Surplus
      Edwards NGW414000 Pneumatic Gate Valve Used Working
      Delta Design 1669755-501 Quad Pressure Sensor Board Used Working
      Creative Technology CT6042 Video Card PCB KCE WC-O 94V-OF1 Used Working
      Therma-Wave 14-007731 Backplane PCB Rev. A1 40-008177 Used Working
      MKS P99A12TGH62TAA Digital Pressure Controller πPC 100 Torr N2 Used Working
      Asyst 9700-6584-01 Advan Tag RFID Reader Set PB 90M 9700-6224-02 Sensor Used
      Asyst Technologies 3200-1121-01 Indexer Controller Interface Board PCB Used
      SVG 879-0133-004 Reticle Mask Cassette Lot of 11 Used Working
      AMAT Applied Materials 0100-91086 Plasma Arc Current PCB Card Issue D Used
      Power-One Cosel DC Power Supply SVG 90 Lot of 5 Used Working
      MKS Instruments 127AA-00001E Baratron Pressure Transducer 1350-01005 Refurbished
      Nor-Cal Products 22-2843 Adaptive Pressure Controller Intellisys Used Working
      GSI Lumonics CCA-10069 X-Y Scanner Set of 2 PCB 0038309-000 Used Working
      Avalue LPC-1203-270-B1R Multifunctional Touch Panel PC Edwards Synergis Used
      Nikon 4S007-372-1 Interface Connection Board PCB AWLCUD Used Working
      AMAT Applied Materials Load Lock Internal Transfer Unit Head SemVision cX Used
      Daihen MOP-10B1-V Tuning Control Unit Box CMC-10 TEL 3D80-000280-V1 Used
      Fusion Systems PWB 248401 Rev. F 8086 CPU Card Used Working
      AMAT Applied Materials 0100-90761 Robotics Interface PCB XR80 Used Working
      AMAT Applied Materials 0100-90995 Spin Scan Relay PCB XR80 Used Working
      TDK RGW48-32R DC Power Supply Nikon NSR-S204B Step-and-Repeat System Used
      Lam Research 716-330190-081 Focus Ring 8" ESC New
      SVG Silicon Valley Group 87-90661-001 Wafer Flip Arm End Effector New Surplus
      Nikon 9700-4698-01 VDrive Lead Screw Assembly OPTISTATION 3 Used Working
      Oerlikon 102185985 Unaxis 300mm CU Cylinder C40 New Surplus
      Fostec 80952 8 Inch Single Lightline Nikon Used Working
      LTH MCD53P4 Conductivity Controller Used Working
      Fujikin 467000 Type NC Diaphragm Valve 0.39~0.59MPa 2 Port C-Seal Lot of 15 Used
      SAM CLD4H1G-RAY39 HVA HV1 HV2 HV3 HV4 HV5 HV6 HV7 HV8 Lot of 9 Used Working
      Tylan General CMHT-11S02 Capacitance Diaphragm Gauge 10 Torr Used Working
      Nikon 4S007-994-1 Interface PCB AF-PSDX22-SUB NSR-S204B Step-and-Repeat Used
      Nikon 4S005-387 Optical Sensor Daughterboard PCB AF-SENSORX4-SUB-A Used Working
      Yaskawa EELQ-8ZT Scroll Pump Motor Edwards ESDP 30 48 Hours Used Tested Working
      Nikon 4S013-392-1 Relay Interface Board PCB STGX41A NSR System Used Working
      VLSI Standards STR10-1000 Surface Topography Standard Metrology Used Working
      TEL Tokyo Electron 3D08-000063-11 Processor PCB Card Used Working
      Baldor 36F971-0344G3 Industrial Motor Burks 350GA7-1-1-4ME5.44SP Used Working
      Komatsu Electronics 20000310 AIC-7 Temperature Controller AIC-7-6-T3 Used
      PPC Pacific Power Control 750-661058-00 AC Power Box KLA-Tencor 2552X Used
      AE Advanced Energy 1345193D RF Match AZX HTCM Module Used Working
      KLA-Tencor 0041186-003 AIT UV 2D PSF DRVR Board PCB Rev. AA Used Working
      Dynamic Display QES1014A-203 Operator Interface MRC Eclipse Star Used Working
      Swagelok MS-KIT-RDA Seat and Seal Kit RD Series R36JQ0893B Reseller Lot of 9 New
      Baldor M36F971-0344G1 Industrial Motor Burks 350GA7-1-1-4ME5.44SP Used Working
      Chamber Performance Services 633005408-F Aluminium Shield Copper Exposed Used
      Hitachi 201A1 RF Cable 22 Meter 72 Foot M-511E System Used Working
      MKS Instruments MRC Pneumatic Angle Valve Lot of 7 Eclipse Star Used Working
      View Engineering Heat Exhaust Unit 1NB412S77 8100 3-D Scanning Used Working
      KLA-Tencor Pneumatic Vibration Isolator Legs Set of 4 CRS-3000 Used Working
      KLA-Tencor 710-608386-001 Lower WIEN Filter Box eS20XP E-Beam Used Working
      Hitachi 201A2 RF Cable 22 Meter 72 Foot M-511E System Used Working
      MRC Materials Research A117082 Power Supply Panel Rev. D Eclipse Star Used
      MRC Materials Research A116810 Relay I/O Assembly Eclipse Star Used Working
      Schlumberger 97847530 Vacuum Control PCB IDS 10000 Used Working
      Lam Research 715-051364-002 T.G. Coupler Adapter New
      KLA-Tencor 740-608385-002 Upper WIEN Filter Box eS20XP E-Beam Used Working
      DIP 15049105 DeviceNet PCB CDN481 AMAT 0190-08860 Reflexion EFEM Used Working
      Therma-Wave 18-007283 Power Supply Assembly Rev. F Opti-Probe 2600 Used Working
      GaSonics 06764801 Arm Controller GaSonics Aura 2000-LL Used Untested As-Is
      Gasonics 90-2609 Display Decoder PCB Rev B Aura 2000-LL Used Working
      AMAT Applied Materials 0130-76117 Gen Rack Indicator PAC Card Used Working
      Nikon 4S018-039 PC Connector Input/Output Board 7BLIUBPB NSR System Used Working
      AdvancedTCA D93606-001 SAS Expander UID D50012-002 New
      Millipore PHOTO-250 Teach Pendant W2501KP01 working
      Eurotherm 461 082/29/19/ENG Thyristor Unit 500V 25A Used Working
      Galil Motion Control DMC-1320 PCB Card DMC-1300 Used Working
      TEL Tokyo Electron 3281-000138-13 PCB-CTRL FA1006K501A PCB P-8 Used Working
      Spectroline G905N0 4" x 20" Grid Bulb New
      CoorsTek 4487756001 Semiconductor Wafer Cover Plate 100mm 41120-87756-010 New
      AMAT Applied Materials 0100-01033 Vacuum Control Interlock Board XR80 Used
      CoorsTek 4489803001 Ceramic Clamp Spare 41120-89803-010 New
      Kikusui PIA4810 4-Slot Power Supply Controller Working
      MKS Instruments 221B-15494----S Signal Conditioner Set Type 221 New Surplus
      Speedfam SFI-965521 Load Cup working
      TEL FA1006K501A Control Board Used Working 3281-000138-11 P8 Prober
      Gast Manufacturing 965513 Vacuum Pump Used Working
      Ceramaseal 15742-01-A Feedthrough Double Quartz w/ Shutter New
      CoorsTek 4490797001 Ceramic Clamp w/ Tabs 100mm 41120-90797-010 New
      AMAT Applied Materials 0200-00043 Rev B 6" Poly Quartz Cover New
      Metron SO165-01 AMD 10018013 New Lot of 5
      Finish Thompson VKC80VST348 Vertical Centrifugal Pump Used Working
      CoorsTek 4490402001 Round Clamp 41100-90402-010 150mm New
      SUNX Sensors PX-24ES AGV Sensor New
      AMAT Applied Materials 0040-77267 Slurry/HPR Arm Used Working
      TEL Tokyo Electron P-8 Wafer Prober Air Manifold Used Working
      Kikusui PMC18-2A 18V DC Power Supply Used Working
      Lam Research 28-8875-037 Opto Input PCB Card Used Working
      Gespac GSPIA-4 Processor Board PCB 9602 New Surplus
      Technol Seven Y331-70 Chuck Heater E5EJ TEL Tokyo Electron P-8 Used Working
      TDK RAW12-14R Power Supply Nikon NSR-S204B Scanning System Used Working
      Nikon 4S008-113 Interface Board PCB ALGAF-T/H-X4+ NSR-S204B Used Working
      Nikon 4S013-499 Interface Board PCB RSTG-FLM NSR-S204B Scanning System Used
      Mitsubishi MR-J3-10A AC Servo Amplifier MELSERVO Used Working
      Chiba Precision MAN-D34R23B Servo Actuator Nikon NSR-S205C Fly's Eye Box Used 
      Nikon 4S007-946 Interface Board PCB OPD-IF-S NSR System Used Working
      Nikon 4S008-112 Interface Board PCB ALGAF-T/V-X4+ NSR-S204B Used Working
      Nikon 4S013-313 Interface Board PCB BLECX3 NSR-S204B Scanning System Used Workin
      AMAT Applied Materials 0100-90875 Interface PCB Issue C 0120-91415 Used Working
      TEL Tokyo Electron AP9Z-1835B Interface Board PCB Add-On PIR #02 TKB7121 Used
      Nikon 4S008-110-A Interface Board PCB ALGAF-T/A-X4+ NSR-S204B Used Working
      Nikon 4S019-083 Interface Board PCB RST-ADPT NSR-S204B Scanning System Used
      AMAT Applied Materials 0190-10156 Processor Control Card SST Rev. 002 PCB Used
      Novellus 26-167697-00 I/O Interface Gamma 2130 PCB 03-167697-00 Used Working
      VAT F03-100134 Pneumatic Slit Valve Used Working
      SMC PF2W200-M Flow Switch 4-Channel Reseller Lot of 23 Used Working
      Pentagon Technologies PT-0143-0037 Gas Trench Cover New
      Qualiflow 2x10-9atm.cm3/Sec 3-Way Pneumatic Valve Reseller Lot of 5 Used Working
      AMAT Applied Materials 0040-55456 300mm Quartz Bell Jar 633007491-F Copper Used
      AMAT Applied Materials SEMVision EPDU External Power Distribution Unit Used
      TEL Tokyo Electron HTE-AC2-A-11 AC Control Board #002 PCB TAB1800 Used Working
      MRC Materials Research A127527 Heater Power Control Eclipse Star Used Working
      AMAT Applied Materials 0150-76512 CMP2 Controller Backplane Cable Umbilical Used
      AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB P5000 Used Working
      MRC Materials Research High Voltage Power Module Eclipse Star Used Working
      Dynamic Display QES2014-132 Operator Interface MRC Eclipse Star Used Working
      Iwaki SB-5SV-L-04 Bellows Pump Used Working
      RadiSys 61-0575-11 PCB Card SBC2215 60-0262-01 Eclipse Star 68-0070-12 Used
      AMAT Applied Materials 0150-76682 CLP1 Controller Backplane EMC COMP Used
      AMAT Applied Materials 0100-09268 Pneumatic UHP Manifold Assembly P5000 Used
      Opto 22 PB32P2 Relay Board PCB IDC5Q 6 MRC Materials Research Eclipse Star Used
      AMAT Applied Materials 0150-76177 CGP1 Controller Backplane Cable Gas Panel Used
      AMAT Applied Materials 0150-76181 CMP3 Controller Backplane EMC COMP Used
      MRC Materials Research A117082 Power Supply Panel Rev. C Eclipse Star Used
      MRC Materials Research A115695 DC Voltage Monitor Module 884-63-000 Used Working
      Ultrapointe 000134 Page Scanner Control PCB Rev. 06 KLA-Tencor CRS-3000 Used
      ASM 03-141884-01 MFC I/F Board PCB 03-320460D01 Epsilon 3200 Used Working
      ABI-2000 2-VC-B0084 Handling Control Unit2 Box C1SW-H16FP-2Y18 Used Working
      Logical Design Group VME-2232-24 Interface Board 9000-30-011 Used Working
      Dalsa TA-C1-04K30-50E Video Controller Hitachi I-900SRT Used Working
      Lam Research 853-017620-001-A Tri-Convectron Interface Assembly 4420 Etcher Used
      Lam Research 839-013521-001 1-1/2" Isolation Valve 4420 Used Working
      Hitachi 200mm Ceramic Wafer Chuck I-900SRT Used Working
      Lam Research 852-017152-003-G Front EMO Box Assembly 4420 Used Working
      TEL Tokyo Electron 2986-400876-W1 Arm Driver Assembly 2986-400896-W1 ACT12 Used
      SMC CDQSB25-50D-F9N-XB9 Air Cylinder CQSB25-50D-XB9 Lot of 2 TEL ACT12 Used
      Ultratech 03-15-04414 Stepper ILPS Controller with Interlock Used Working
      Ultratech 03-18-00446 Combo Transition PCB Used Working
      Varian Semiconductor Equipment 111085001 A-Switch Assembly E1000 Used Working
      AMAT Applied Materials 9090-00093 Power Supply daq MkII type H XR80 Used Working
      Pentagon Technologies D119224 Cu Dark Space Shield MRC Eclipse Star New
      Thermalogic PCB 718-525 Working
      Omron Photoelectric Sensors Connectors Hitachi Kaganei Yamatake Honeywell Lot
      Yaskawa Electric SGD-01AN Servo Drive Amplifier SERVOPACK New Surplus
      Nikon NVCEX-2SD5H-B VGA Camera Module XC-7500 NSR-S205C Used Working
      SMC KP1210116B Power Supply PCB PWB0015B SPS-001 THERMO-CON Used Working
      Hamamatsu C9047-01 CCD Multichannel Detector Head S9037-0902N NSR-S307E Used
      VAT 231376 Atmospheric Door Spare Plate Kit 233635 New Surplus
      Cymer 06-03028-01 Radiator Module 06-1001-01 TF6964G1 ELS-6400 Laser Used 
      DSP Design SP18006240.006 Processor Board PCB Card SP180 Used Working
      Lam Research 28-8875-036 OPTO Output Board PCB Card 22-8875-036 Used Working
      NSK EMLZ10CF1-05 Servo Drive Motion Controller Used Working
      TEL Tokyo Electron 3D81-000039-V2 Interface Board PCB TYB62F-1/PUMP Used Working
      DNS Dainippon Screen TANK#1 Trimix Temperature Monitor Process Bath FC-3000 Used
      Hamilton 0162573 PSD/2 Syringe Pump HVX Drive LU0960 ECI QLC-5100 Used Working
      Nikon 4S015-130-1 Interface Card PCB NK-C31D21 AF BOOT NSR-S204B Used Working
      Omron G9SC-140-TE3 RY MTR #02 PCB Board TEL Lithius Used Working
      Omron G9SC-140-TE2 RY SPIN MTR #02 PCB Board TEL Lithius Used Working
      Nikon 4S015-130-1 Interface Card PCB NK-C31D21 NK_C31.H23 NSR-S204B Used Working
      ASML 4022.470.9005 RSSB2 Interface Panel PCB 4022.471.7025 Used Working
      PMI Motion Technologies KXA-48-8-16/AUX PCB Card 0088060-005 Kollmorgen Used
       S&C Electric 005-109-9 Purewave Gate Driver Board PCB 004-109-10A Used Working
      ECI Technology LU0610 Hamilton Modular Valve Positioner QLC-5001 Used Working
      ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. F QLC-5100 Used Working
      SMC KP1210115B Power Supply PCB PWB0014B-1 SPS-001 THERMO-CON Used Working
      ASML 4022.471.7683 Interface Board PCB VME Card 4022 471 76821 Used Working
      VAT 211962 Atmospheric Door Pressure Plate Kit N-7100-112 New Surplus
      ECI Technology TLA7334-02 Interface Board PCB Card QLC-5001 Used Working
      Bede Scientific BEDE\4PH\2CH\V1.1 Processor Board PCB Card Used Working
      Lanner Electronics IAC-H670A Single Board Computer SBC V1.0 PCB QLC-5001 Used
      NSK EMLZ10CF1-03 Servo Drive Motion Controller Used Working
      TEL Tokyo Electron 3D81-000097-V1 Processor PCB Card TYB514-1/IO48 Used Working
      DNS Screen TANK#5 Sulfuric 120C Temperature Monitor Cooling Tank FC-3000 Used
      ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. B QLC-5100 Used Working
      Nikuni 25CLX15U4 Centrifugal Pump UG1312T-A1AA Used Working
      Yaskawa Electric CIMR-V7AA25P5 5.5kW Inverter VS mini V7 Used Working
      AMAT Applied Materials 0040-23525 300mm Slit Valve Door Plate New Surplus
      Arcom Control Systems SC88T Processor Board PCB Card M.E.M 24-09-96 Used Working
      Cymer 05-11019-02 Power Supply Discharge Chamber ELS-6400 Laser System Used 
       Kelek Systems KSL-CT8 Processor Board PCB Card SPCT8C 14 640 801 Used Working
      TEL Tokyo Electron 3D81-000096-V1 Processor PCB Card TYB513-1/IOGS Used Working
      TEL Tokyo Electron 3D81-000103-V1 Interface Board PCB TYB62E-1/RF Used Working
      ZTE XMBW R9100 WiMAX Remote Radio Unit RRU 2610~2700Mhz Used Working
      Hine Design 02423-001 Arm Control Board PCB 778-2I GaSonics Aura A-2000LL Used
      Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS-3000 Used
      Keyence PZ2-41 Photoelectric Sensor PZ2-41 Reseller Lot of 12 TEL Lithius Used
      Texas Micro 92-005649-00X ISA SBC Single Board Computer 20-005650-02 Used
      Hine Design 885-2423 Arm Control Board PCB 778-1I GaSonics Aura A-2000LL Used
      GaSonics IPC A90-014-01 Display Decoder PCB A89-014-01 A-2000LL Used Working
      AMAT Applied Materials 0090-90967 Power Supply daq MkII type H Used Working
      Hine Design 02423-001 Arm Control Board PCB 06764-002 GaSonics A-2000LL Used
      Brooks Automation TT1ENR2-1 Robot Teach Pendant KLA-Tencor eS20XP Used Working
      CKD AMF-V-X1 Solution Valve TEL Tokyo Electron 5087-400486-15 Lithius DEV Used
      Hine Design 02423-001 Arm Control Board PCB 06764-001 GaSonics A-2000LL Used
      Tang Kai Transformer Engineering 15KVA 415V Transformer Used Working
      Sony XC-7500 VGA Camera Module NVCEX-2SD5H-B XC-ES50 Lot of 5 Used Working
      Spectra-Physics 2580C UV Laser Power Supply Used Working
      Semitool P223-4E Spin Rinse Dryer SRD Controller Used Working
      Nikon Trinocular Microscope Head with Illuminator Labophot Optiphot Series Used
      Hitachi FP Controller DC Power Unit S-9380 SEM Used Working
      Lam Research 810-17013-001 Gap Drive Amp Interlock Board PCB Rev. C Used Working
      SMC Pneumatic Rotary Cylinder Assembly Used Untested As-Is
      A-B Allen-Bradley 1762-L40BXBR PLC Controller 1762-IQ8 1762-OW16 Used Working
      AMAT Applied Materials 0100-01109 Local Ground Board PCB Quantum X Used Working
      Verteq Process Control Unit Sunburst Megasonic Cleaner SRD Used Working
      Horiba STEC SEF-8240FHM Digital Mass Flow Device VC-1420 Used Working
      View Engineering 10000-501 PLC PCB Programmable Controller C40H-C6DR-DE-V1 Used
      KLA-Tencor 373494 DISTRIB1 PCB Rev. AB SP1 Used Working
      Inficon LTSP-600 8-Channel Power Supply LSTP-75W LinearTec Used
      Lam Research 853-012726-001-G-C222 4420 Etcher Wafer Transport Incomplete As-Is
      SVG Silicon Valley Group 99-80295-01 Power Supply Safety Reset PCB Rev. E Used
      Glassman High Voltage PS/EX010P02.5 Power Supply Used Working
      SVG Silicon Valley Group 99-80295-01 Power Supply Safety Reset PCB Rev. F Used
      DNS Screen TANK#5 Sulfuric 90C Temperature Monitor Cooling Tank FC-3000 Used
      TDK ZACT2280-ME Noise Filter 2000 VAC Used Working
      MicroProbe PCIN62MP Wafer F32 Planar Lot of 5 New
      Kniel 303-009-04 .02 8V Power Supply PCB Card CP 8.2,5 ASML 4022.436.72591 Used
      Kniel 312-013-01 .07 Power Supply PCB Card CP 5.32/VME ASML 4022.436.23221 Used 
      View Engineering 10000-301 PLC PCB Programmable Controller C40H-C6DR-DE-V1 Used
      Etec Systems AB05-1002 Vacuum Shipping Container Used Working
      Omron SYSMAC CQM1 PLC Programmable Controller PA203 Therma-Wave Opti-Probe 2600B
      Kniel System-Electronic CP 24.1 24V Power Supply Card ASML 4022.436.79311 Used
      ASML 4022.471.5284 Control Board PCB Used Working
      VAT 03109-NA24-AJX1 Slit Valve Novellus 02-115278-00 Concept II Altus working
      Hitachi CWS220/A PH Rev.C Interface Board CWS22 Used Working
      ASML 859-8030-003 AFA PREAMP/ADC 16 Bit PCB Card ASML Lithography Used Working
      Electroglas 247228-001 X Theta Driver PCB Card Rev. N 4085x Horizon Used Working
      Matrix 0995-10504 Microprocessor Board PCB New
      Nikon BD Plan 10 0.25 Microscope Objective 210/0 OPTISTATION 3 Used Working
      Nikon 4S005-204-? Connector Board PPD-LD PCB NSR-S204B Used Working
      Hitachi CWS360/7 PH Rev.D Motherboard CWS36 Used Working
      Perkin-Elmer 851-8877-002-F Board A1302 ASML Lithography Used Working
      Robitech 859-0832-006B Interface Board ASML Lithography Used Working
      Electroglas 247228-001 X Theta Driver PCB Card Rev. R 4085x Horizon Used Working
      Nikon 4S007-609 Connector Board PPD-EXBODY PCB NSR-S204B Used Working
      Nikon 4S008-035 Power Supply Assembly NSR-S204B Used Working
      Branson 12954-04 IPC PWA Interface Board PCB Used Working
      SVG Silicon Valley Group 99-80302-01 Rev. F Interlock Board 90S Used Working
      Pittman 276-009-001 C S Rev. E Amplifier Board Lot of 4 Used Working
      KLA-Tencor 303737 Rev 06 RR Motor Interface PCB Card HRP 340 Used Working
      Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS 2000 Used
      Nikon 4S018-531-1 Interface Backplane Board PCB WL3MTR-H6 NSR-S204B Used Working
      Hitachi CWS880 PH Rev.A Interface Board CWS88 Used Working
      Robitech 859-0832-006C Robot Interface Board A5161 ASML Lithography Used Working
      TEL Tokyo Electron 2985-412389-W1 12-R Nozzle Bath Assembly ACT12 Used Working
      Nikon BD Plan 20/0.4 Microscope Objective 210/0 OPTISTATION 3 Used Working
      Hitachi U01200PMQA-DS1CE Kokusai Denki Engineering Ultrasonic Generator As-Is
      Ultrapointe 000134 Page Scanner Control PCB Rev. 06 KLA-Tencor CRS1010 Used
      KLA-Tencor 003087 000381 Mirror Assembly CRS1010 Used Working
      Lam Research 810-02432R Plate Focus Ring Adapter Cera-R Used Working
      Hitachi 3-852688-01 Quartz Sleeve 300mm New
      Hitachi 2-830082-01 Aluminum Gas Ring ZPS90 New
      Electroglas 247225-002 XFR Arm Subsystem PCB Card 4085x Horizon PSM Used Working
      TMP Shimadzu TMP-3203LMC-K1 Turbomolecular Pump Turbo Untested Damaged As-Is
      Nikon 4S007-931 Interface Board PCB FIAAF-TYUUKEI-H NSR-S204B System Used
      Nikon 4S018-160-2C Interface Control Board PCB RL-L? NSR-S204B System Used
      Kniel 303-013-04 .03 Power Supply PCB Card CP 8,5.2/SEMI ASML 4022.476.01311 Use
      Levitronix BSM-4.2-30 Bearingless Pump Motor 100-10011 New Surplus
      Nikon 4S013-310 Interface Board PCB BLX3 NSR-S204B Step-and-Repeat Used Working
      SVG Silicon Valley Group 99-80295-01 Power Supply Safety Reset PCB Rev. A Used
      Nabtesco ANR135-A Servo Drive Vigoservo ARN Used Working
      Omron 3G8B2-NI000 Interface PCB Card TEL Tokyo Electron 3286-002065-11 P-8 Used
      VAT F03-105962 Pneumatic Slit Valve Matrix System 10 Style 1104 Used Working
      AMAT Applied Materials Optics Turret Assembly Microscope Lens Orbot WF 736 Used
      KLA-Tencor 720-451511-00 Optical Prism Housing Assembly 5107 Overlay System Used
      Electroglas 247222-002 Linear Motor Subsystem Assembly 4085x Horizon PSM Used
      Aera FC-7810CD Mass Flow Controller 5 SLM NF3 Novellus 22-117958-00 Refurbished
      TCP 300 Pfeiffer PM C01 259 Turbomolecular Pump Power Supply Tested As-Is
      Foxboro L0117AJ F Micro Controller Panel L0117AN Epsilon 3000 Used Working
      Electroglas 247216-001 System I/O PCB Card 4085x Horizon PSM Used Working
      Aera FC-7810CD Mass Flow Controller 20 SLM NH3 Novellus 22-252794-00 Refurbished
      Yaskawa SGMPH-08A1A2C AC Servo Motor Shimpo Gearhead VRFS-PB-S9D-750-YF Used
      Electroglas 247228-001 X Theta Driver PCB Card Rev. P 4085x Horizon Used Working
      Watlow 208-C10000CB Anafaze Temperature Controller CLS208 Used Working
      VAT Straight Reducer Nipple Adapter LF320 to LF250 ISO-K NW320 NW250 Used
      KLA-Tencor 0024735-000 Convex Lens Assembly KLA AIT UV+ used 
      MKS Instruments 421 Cold Cathode Gauge Micro-Controller HPS Used Working
      TEL Tokyo Electron HP Tower 2 Gauge Panel Process Station Lithius Used Working
      KLA-Tencor 371343 6-PCI Backplane Board Trenton 92-005531-xxx Used Working
      KLA-Tencor 0026346-001 Pneumatic Actuated Lens Assembly used working
      Whedco 78006002 Servo Motor Controller Jr Series IMJ-313E-X-D Used Working
      Agilent E4861-69551 Data Gen./Analyzer 3.35 GB/s PCB Card 7500 Series C Used
      TEL Tokyo Electron HP Tower 1 Gauge Panel Process Station Lithius Used Working
      Simco 5051272 LV Bar Ionizer 36" SiC scorpION Asyst Used Working
      Tropel 142851 1.70x Mag Converter Lens KLA-Tencor AIT UV+ Used Working
      DIP-Proofing Technology 0.5k523A5/6-P Voltage Inverter 0K523A5/6 Used
      AMAT Applied Materials MA-0190-14415-01 Pressure Display Assembly Reflexion Used
      ADTEC Plasma Technology AT-400A PCB 03-170137-00 GasSonics Aura 2000LL Used
      ADTEC Plasma Technology AT-400A PCB 27-286643-00 GasSonics Aura 2000LL Used
      SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Used Working
      Pearl Kogyo ZDK-916L2X Tune Controller Hitachi MU-712E Untested As-Is
      Daifuku LDS-3713A Power Board PCB Used Working
      TEL Tokyo Electron 2910-100464-11 Shutter TEL ACT12 New
      3M 051111-90050-5 Diamond Pad Conditioning Disk A9810 AMAT 0190-37635 Lot of 5 
      Air Products 809-609012 Front Panel Display Processor AP10211 Used Working
      TEL Tokyo Electron 3281-000043-1A PCB Display Driver Card P-8 Used Working
      Air Products AP10530 10 Inch LCD Display Panel 06D2087 Used Working
      Edwards 2XIQDP80-QMB500 Control Enclosure Used Working
      MRC Materials Research A118030 Cyropump Temperature Monitor Cracked Window Used
      AMAT Applied Materials 0242-31591 DI Cooler Fittings Hose Kit Lot of 5 New
      Raritan KVM Switch MCC4 Lot of 3 New
      Dell CAT Computer Desktop PC OptiPlex GX110 Lucas Labs Used Working
      Nikon 4S586-539AN Camera Assembly NSR Used Working
      Lucas Labs PDC Power Unit Used Working
      Johnson Controls Digital Reset Controller C500ECC-1 Used Working
      IDX DC-1001 Electro Static Chuck High Voltage Power Supply Hitachi M-712E As-Is
      Bio-Rad PER6B00C P&P Vacuum Sensor PCB Bio-Rad Quaestor Used Working
      TMP Shimadzu TMP 280-L Turbomolecular Pump Turbo Used Working
      ACE BS33-390 M/A COM Focus Ring 055298 New
      SVG 90S Short Stroke Arm 85-19607-003 Working
      AMAT Applied Materials 0242-18644 PVD/PC Chamber Mounting Block Kit New
      JEOL High Voltage Junction Box Power Supply JEM-2010F TEM Used Working
      Micrion 150-002221 FIB-NETWORK Processor PCB Board FEI CLM-3D Used Working
      Verteq ST800-CC50-MC2PX-SCP Amplifier Unit AE 3156023-000 Untested As-Is?
      Gatan 692GIF.2KCK CCD Camera Control JEM-2010F TEM Microscopy System Used
      KLA Instruments Power Supply Rack KLA-Tencor AIT Fusion Used Working
      JEOL MP001828-02 PS-STB PB Power Supply Board PCB JEM-2010F TEM Used Working
      Sony XC-ES30 Monochrome Industrial CCD Camera Nikon NSR-S204B Used Working
      Cherokee Europe 9415 041 21011 Power Supply PCB Card PE4121/01 FEI CLM-3D Used
      VAT 07512-UA24-0001 Pneumatic Atmospheric Door Actuator Slit Valve Used Working
      Gatan 794IF MultiScan Camera Controller 860.P27F1BP JEM-2010F TEM Used Working
      KLA-Tencor 0024480-000 Single Lens Right Assembly 0019774-000 AIT Fusion UV Used
      Nikon OPTISTATION 3 Binocular Lens Eyepiece Microscope Assembly Used Working
      TDK RDH24-6RO Power Supply Lot of 2 Nikon NSR-S205C Used Working
      Aerotech X Axis MXH10-D Encoder Signal Multiplier 0028648-000 KLA-Tencor Used
      Edwards D37207000 Electrics Module iQDP40 Tested Not Working Alarm 101 As-Is
      Orbot Instruments 710-26372-DD PMT CAM PCB Card AMAT WF 736 DUO Used Working
      Sun Microsystems 600-3676-01 SPARCserver 5 AMAT Orbot WF 720 Used Working
      TEL Tokyo Electron 5085-405392-12 IRAS Fork Assembly End Effector Lithius Used
      Nikon Wafer Loader Control Panel Missing Back Cover Panel NSR-S204B As-Is
      DUO 030A Pfeiffer Balzers PK D24 602 C Rotary Vacuum Pump No Power Tested As-Is
      KLA-Tencor SL 300 URSA Cannon Camera 655-057368-00 655-057367-00 Used Working
      Edwards D37207000 Electrics Module iQDP40 Tested Not Working Alarm 512 As-Is
      ACS Electronics 61754 SB202 Dual Axis Controller PCB Card AMAT Orbot WF 720 Used
      Nemic-Lambda YM-96-884A Power Supply Assembly Orbot 710-26960-AD WF 736 DUO Used
      Jikco COX-10D Channel Box 4S588-280 Nikon NSR-S205C Used Working
      Nikon Wafer Loader Control Panel NSR-S204B Used Working
      Matrix Integrated Systems 1000-0068 Processor Board PCB System 10 Used Working
      AMAT Applied Materials AF Mircoscope Assembly 710-34041-DD Orbot WF 720 Used
      ACS Electronics SB214VME-E VME Controller PCB Card AMAT Orbot WF 736 Used
      Nikon BD Plan 40 0.65 Microscope Objective 210/0 OPTISTATION 3 Used Working
      Opto 22 PB24 Relay PCB Board PCB-1 000228V AG Associates 4100s Used Working
      Matrix Integrated Systems 1000-0050 Process Controller PCB Board System 10 Used
      Yaskawa XU-DL1600 Rev A Circuit Board Nikon NSR-S204B Used Working
      KLA-Tencor 5107 Laser Mirror & Prism Assembly 150mm Newport P100-P Used Working
      DUO 030A Pfeiffer Balzers PK D24 652 Vacuum Pump Will Not Pump Down Tested As-Is
      Agilent E1709A Remote High Performance Receiver Lot of 4 Used Working
      Nikon M Plan 2.5 0.075 Microscope Objective 210/0 OPTISTATION 3 Used Working
      Opto 22 PB24 Relay PCB Board PCB-2 000228V AG Associates 4 100s Used Working
      Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working
      THK KR2602A+111L0E-100B Linear Slide and Coupling AMAT 0190-34122 New
      Edwards D37215252 INIM Cards 801-1047-51 Flash Control Lot of 13 Untested As-Is
      Pearl Kogyo F-2000-0.1 RF Filter Module Used Working
      Fuji Electric M-SPS1000RM-2F UPS TEL Tokyo Electron Lithius No Battery As-Is
      SensArray 50-1819 Adapter RTD Module New
      GE Fanuc VME-7671-421000 SBC Single Board Computer 605-048878-001 Rev. L Used
      Semitool 33072-01 Remote Chemical Delivery Stacked PBC I/O Board 502SE Used
      DNS Dainippon Screen CHCL Bath Controller Tank Module FC-3000 Used Working
      Omron V700-L21 CIDRW Controller Used Working
      DNS Screen TANK#3 Trench Clean Temperature Controller Process Bath FC-3000 Used
      DNS Dainippon Screen SL-2121 Terminal SM Unit Used Working
      AMAT Applied Materials 0100-20350 Operator Panel Bulkhead 300mm Used Working
      Hitachi BBB1-02 Interface Board PCB Hitachi M-712E Dry Etcher Used Working
      Omron V700-L22-1 CIDRW Controller Used Working
      DNS Screen TANK#3 Trench Clean Temperature Controller Process Bath Copper Used
      VAT B90002041 Pneumatic Gate Valve BGV LOTO Copper Exposed Used Working
      TEL Tokyo Electron 2985-406653-W3 Right Nozzle Arm Assembly ACT12 200mm As-Is
      DNS Screen TANK#1 Via Clean Temperature Controller Process Bath FC-3000 Used
      DNS Dainippon Screen CHCL Bath Controller Tank Module FC-3000 Cu Used Working
      DNS Screen TANK#1 Via Clean Temperature Controller Process Bath FC-3000 Cu Used
      Edwards U20001189 iGX Series Vacuum Pump Power Cable 7 Foot Lot of 4 Used
      TEL Tokyo Electron 2985-406661-W3 Left Nozzle Arm Assembly ACT12 200mm As-Is
      Taisei Denki TD-13659 Blue Power Transformer TE1B-720-EN-NF Copper Used
      DNS Dainippon Screen Hot Rinse Tank Module FC-3000 Copper Exposed Used Working
      Sunx Sensors SF4-AH Light Curtain Set Emitter and Receiver Used Working
      TEL Tokyo Electron 2985-414730-W2 Nozzle Block Assembly ACT12 200mm As-Is
      Granville-Phillips 274012 Ion Gauge Vacuum Manifold Assembly TEL Unity II Used
      AMAT Applied Materials 0021-76671 Feed Thru Tube Precursor New
      Semitool 4 Channel Interface Board 14837G Used Working
      Lam Research 17620-1-A-4318 Tri-Convectron Interface Assembly 4420 Etcher Used
      KLA Instruments 710-805314-00 BCB IIb PCB TEL Tokyo Electron P-8 Used Working
      Edwards D37207000 Electrics Module iQDP40 Tested Not Working Alarm 1101 As-Is
      Motoyama SUSF316L 2-Way 1/4-inch VCR F/F Manual Valve Lot of 12 Used Working
      Fujikin 041358 Normally Closed Valve Reseller Lot of 70 USed Working
      AMAT Applied Materials 0130-00525 Chamber I/O Display Rev. 003 Used Working
      AMAT Applied Materials 0050-54972 3/8" OD Backside Gasline 300mm New
      AMAT Applied Materials 0242-41460 AFEOL Foreline Elbow Assembly Kit New
      AMAT Applied Materials 0240-14675 Argon Gasline 300mm PVD Kit new
      Lam Research 13610-1-B-5149 Solenoid Tray Assembly Missing Main PCB 4420 As-Is
      Lam Research 853-017620-001-A Tri-Convectron Interface Assembly 4420 Used
      Xyplex 16 Port Terminal Server Card 500-5734 Used Working
      CFM Technologies A30-00210 Power Box Used Working
      TEL Tokyo Electron Prealigner Lamp Module Lot of 2 Unity II Used Working
      Material Support Resources 0107121-302 Inner Tube Support New
      Fujikin Valve Top Works 021367 021369 Lot of 14 Used Working
      Microtech N100 Input Controller Used Working
      Lam Research 853-017620-001-A-C222 Tri-Convectron Interface Assembly 4420 Used
      Omron TEL P8 Interface Board NO000 3286-002065-11 Used Working
      ASML 4022.471.5658 VME Control Board PCB Used Working
      Teledyne Harmonic Filter Board 1002363800 Rev. B Used Working
      Material Support Resources 0107121-416 Flange Seal New
      AMAT Applied Materials Chamber Exhaust Liner 0020-64708 New
      AMAT Applied Materials 0242-10662 ESC Blankoff for CCM Kit new
      AMAT Applied Materials 0050-96206 CHM Return Line 300mm New
      Omron H3Y-4 Timer Reseller Lot of 22 Used Working
      Omron 3G8B2-NI001 Interface PCB Card TEL Tokyo Electron 3286-002066-11 P8 Used 
      Nikon 4S019-083 RST-ADPT Board PCB NSR S306C Used Working
      Horner Electronic CPU Module HE610DPC164A Lot of 2 Used Working
      Panasonic ADKA100BPTADA AC Servo Drive Unit Used Working
      Material Support Resources KF80 Kokusai Vertron DD803V Bellows Lot of 3 New
      AMAT Applied Materials 0270-04401 Endura 2 Turbo Shimadzu Support New
      AMAT Applied Materials 0242-26906 M/F NO Chamber Position Kit New
      Jikco 4S587-736-1 Monitor Box Nikon COX-B7A NSR Series Used Working
      Nikon 4S001-068 Power Supply Module NSR-S202A Scanner Used Working
      Nikon 4S018-548 Extension Board PCB SR-EXT170 NSR-S204B Used Working
      Jikco 4S588-280 Channel Box COX-10D Nikon NSR-S307E Used Working
      Nikon 4S018-383 Driver Board RSSDRVX2 PCB Card NSR-S202A Scanner Used Working
      Nikon 4S018-591 Card LMDRVX2A NSR-S202A Scanner Used Working
      Daifuku B255M Teaching Box Nikon NSR-S307E Scanner Used Working
      PI E-50300SX LVPZT Amplifier Card Used Working
      KLA-Tencor 003087 A Mirror Assembly CRS 2000 Used Working
      Hine Design 778-2I Arm Control Board GaSonics Aura 2000-LL Used Working
      TDK RAX48-15R Power Supply Used Working
      ENI MW-10-21191 Matchwork Control Unit As-Is
      Shinko SF250/BMG SF400/BMG Electromagnetic Clutch Lot of 7 New
      Applied Ceramics 91-01394A Heat Shield 300mm SNNF 1/4" New
      TEL Tokyo Electron B31805-320140-11 COVER RING INNER
      Emerson IMP4-2N0-2N0-00 Panel Mount Power Supply ±1 15VDC 20A ASM 1046-233-01
      ASM 04-144192-01 KIT-SYS WIRING-TM-DLL-PH2
      Dotronix 7-034-0105 A 10" CRT Monitor Model CD-90
      Edwards D37215020 Flash Module New
      MKS Instruments Straight Nipple UHV High Vacuum ISO250 ISO-F 3" HPS MeiVac Used
      LaCie Tsunami 540MB Quantum Fireball External Drive Ultratech Titan 4700 Used
      Ultratech Stepper Interchangeable Ignition Transformer A10-LA2 4700 Titan Used
      Honeywell DGR150-6U-A000-20-US-000 Digital Graphic Recorder DGR100
      CMC Cleveland Motion Controls MSFX 5002-01 Stepper Motor Control PCB Used
      TEL Tokyo Electron B31D10-313620-11 UPPER CLAMP
      RFPP RF PLASMA PRODUCTS T-501 RF Generator
      ASM 04-143748-01 KIT-SYSTEM WIRING-FEI-E3220
      RKC FB400 Temperature Controller with Ramp and Soak ASM 54-106618A64 
      MKS Instruments Straight Nipple Adapter UHV High Vacuum ISO250 K to ASA 6 Used
      GE Fanuc Series 90-30 10-Slot PLC Control System IC693PWR321S IC693CPU331N Used
      Swagelok NS-8870-001-002 Pneumatic Valve ASM 50-125708A27 Reseller Lot of 3 New
      Econco CX3000A7 Electrode P/E1900 Refurbished
      Lambda 400PFCPower Supply 400w 7amp RMS  ASM 830036067 
      ASM 16-405163-01 PLATE-SPACER VALVES N2
      RFPP RF Plasma Products T-502S RF Generator 
      MKS Instruments Straight Nipple Adapter UHV High Vacuum ISO250 to ASA 6 HPS Used
      Motorola 01-W3336B01A Processor PCB Card MVME 316 Ultratech Stepper 4700 Titan
      Ultratech Stepper Interchangeable Ignition Transformer 638-171 4700 Titan Used
      Millipore CWFA01PLV Filter Cartridge 1.0μm Fluorogard Lot of 9 New
      Asyst Technologies 4001-4302-XX 200mm Wafer SMIF-Pod Orange Used Working
      AMAT Applied Materials 0190-35113 Halogen Lamp Bulb 2000W Reseller Lot of 10 New
      ASML 4022.471.6712 Interface Board PCB Card 12 4022.471.56171 Used Working
      ASML 4022.471.5845 Interface Board PCB Card S14 4022.471.58481 Used Working
      Axcelis 697221 Power Supply GEMINI Fusion PS3 CRPC320024PM Used Working
      Kensington Laboratories 77-4000-6108-00 Axis Multilink PCB Card v10.59 ZCHD Used
      AMAT Applied Materials 0100-01950 ARC Interrupt A PCB Board 0020-53074 Used
      SST Woodhead 5136-DNP-PCI DeviceNet Pro PCI Adater PCB Card Used Working
      Miki Pulley BXH-12-10-A-20 Spring-Actuated Brake Motor TEL Lithius Used Working
      SVG Silicon Valley Group 99-80308-01 DC Distribution PCB MCE Mount 90S Used
      Kensington Laboratories 77-4000-6197-00 Arm Axis PCB Card v10.59 HTL2A Used
      AMAT Applied Materials ES30712310000 Power Unit Main PDU SEMVision Used
      AMAT Applied Materials 0100-01950 ARC Interrupt B PCB Board 0020-53074 Used
      Omron S8PS-10024C Power Supply Reseller Lot of 10 Used Working
      Balzers BG 290 339 U Transformer PCB Card BG290 339-U Used Working
      AMAT Applied Materials 326426R06-PJ Mainframe Monitor 0240-15601 0242-25191 New
      TEL Tokyo Electron 3D81-050029-V1 PCB TYB62D-4/PS2 TEL T-3044SS Etcher Used
      KoMiCo S31A-GD-010-A GDP 1.0mm Plate 500080005 Used Working
      TEL Tokyo Electron 1D05-300188-12 Insulator Ring A7 LA4-8(Q)(DRM2) New
      TEL Tokyo Electron SPC-T0046A-11 Board IF STP #02 TOB1046 Lithius IRAM Used
      Mitsubishi MR-J2S-BC001-QE AC Servo TEL Tokyo Electron Lithius Used Working
      ASML 4022.635.81433 2-Way Manifold FNPT 2 1/2-2X NUT DN50 New
      Bio-Rad Y5304400 Real Time Stage Reader Quaestor Q7 Used Working
      ASML 8790135 Unload Arm Litho DSQ DST 233448878 Used Working
      Horiba STEC SEF-8240SM-UC Mass Flow Meter Assembly SMT-8000 BTBAS Used Working
      ASML 4022.470.8445 SSD PCB Module ASML Twinscan XT:1250 Used Working
      B&G Precision 56-010559 Overcenter SMIF OP Paddle Used Working
      Opto 22 885-23-000 Relay Board PCB MRC Materials Research Eclipse Star Used
      RECIF Technologies TBFF8 ANA Automatic Wafer Notch Finder Used Working
      Fortrend 120-1004 Wafer Load Station F8025 Tested Not Working Fault As-Is
      QMB250 Edwards A301-86-905 Vacuum Pump Mechanical Booster Used Untested As-Is
      Mykrolis Photo 250 Dispense Photoresist Pump Wafergard 250 Photo-250 As-Is
      Hitachi 569-5535 Circuit Board SDCPS Hitachi S-9380 Main Body Used Working
      AMAT Applied Materials 9090-00879 Gas Interlock Module Rev. B Quantum X Used
      Fortrend 114-1005 2-Tray Wafer Load Station F8225 Tested Not Working As-Is
      Yasunaga YP-20V Air Purge Pump TEL 2985-511354-12 Tokyo Electron ACT12 Used
      Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL THERMO 3000W Untested As-Is
      Nikon 4S018-550-LMDRVX3 Controller Board PCB Used Working
      Stainless Steel MP-617-040-610 Electronic Chemical Bath / Sink Untested As-Is
      TEL Tokyo Electron T-3055DD Power Supply As-Is
      Orion Machinery ETM932A-DNF-L-G3 Power Supply PEL THERMO Used As-Is
      Novellus Systems 03-283968-00 Interface FE/LL C3 Vector Used Working
      DNS Dainippon Screen SL-2130-C-Z Terminal SM Unit Used Working
      Kokusai Electric U01200PMQA-DS1CE Ultrasonic Generator Mikro Sonic As-Is
      TEL Tokyo Electron Interface Block Roller Clean Track ACT12 Lot of 2 Used
      AMAT Applied Materials 9094-00923ITL Processor Vacuum Control Chassis As-Is
      AMAT Applied Materials 0041-24878 Thermal Lid 300mm New
      Zellweger Analytics Combustible Gas Sensor 00705-A-1733 Lot of 5 New
      Hitachi M-308ATE PCB Pair BGAI-I Used Working
      Nikon CB1000A Power Supply Module NSR Series Used Working
      Siemens 505-4908 Relay Output PCB Lot of 2 Used Working
      Socapel 024.7036.A Servo Drive Main Board PCB Used Working
      Nikon 4S018-715- OPDMTHX4 Connector Board PCB Used Working
      Nikon 4K197-306 Pneumatic Box NRS S306C Used Working
      MMPIC Scanner Drive MMPIC-20A-3 Lot of 2 Used Working
      ASML 4022.436.6375 MOTVV V2.1 IDE Board PCB Card 4022.436.6375.2 Used Working
      Leybold TM21 Vacuum Gauge Controller Thermovac Working
      Leybold Vacuum Pump Control Module 72142056 72142057 Used Working
      Nikon C0020-01A Main Board PCB NSR Series Used Working
      Ulvac Vacuum Control GP-ISRY M-308ATE Used Working
      Triton PSC-6X86 SBC Single Board Computer PCB Ver. 2.3 Used Working
      Edwards Middle Sleeve SCCM P1264 Refurbished 
      Nikon 4S018-715- OPDMTHX4 Connector Board PCB Used Working
      Mitsubishi QD75M4 PLC Positioning Unit Used Working
      Kniel System-Electronic CMP 0810 Power Supply Card ASML 4022.436.48921 Used
      AMAT Applied Materials 0041-26196 Gasbox PVD Chamber 300mm Base New
      Oriel 7072 Detection System Used Working
      Hitachi Etch Power Meter M-308ATE Lot of 4 Used Working
      A-B Allen-Bradley SLC500 1746-A10 Power Supply Used Working
      Dainippon eFLOW SD16L-24SH E Resistivity Meter Module Used Working
      Schlumberger 740021410 DC Power Supply Rev.01 Used Working
      Tohoku Ricoh Driver Board 7D000360A Used Working
      Kniel System-Electronic CP 24.2,2 24V Power Supply Card ASML 4022.430.14761 Used
      AMAT Applied Materials 0242-29293 Chamber to Mainframe Mounting Bracket Kit new
      Fuji Electric Inverter Drive FVR008K7S-2 Used Working
      GaSonics 90-2609 Display Decoder PCB A89-005-01 Rev. A A-2000LL Used Working
      Tohan TD-102 Servo Drive Reseller Lot of 3 Used Working
      Komatsu Electronics ABCBA00090 AIC-7 Temperature Controller AIC-7-12-UC Used
      Schlumberger 740021410 DC Power Supply Rev.00 Used Working
      ASML 4022.437.3013 Shutter Control PCB Used Working
      Particle Measuring Systems 1000001391 Processor Board PCB Used Working
      Nikon 4S013-366 STGSTCMSCRFX4 Backplane PCB Used Working
      Millipore FC-2979MEP5-WM MFC Surface Mounts Ar Lot of 6 Untested As-Is
      Millipore FC-2979MEP5-WM  MFC Surface Mounts N2 Lot of 6 Untested As-Is
      TEL Tokyo Electron Cup Wash Disc 200mm Assembly ACT12-200 Used Working
      Millipore FC-2979MEP5-WM MFC Surface Mounts C4F8 Lot of 6 Untested As-Is
      Honeywell 07-600/15 300mm Sputtering Target New
      AMAT Applied Materials 0040-32460 Boomerang IPS Used Working
      Foundry Networks FI7202 FastIron II Switch B4000 FI12GM FI24E Used Working
      TDK RBX48-12R Power Supply Used Working
      Daifuku OPC-2634A Processor Interface Board PCB Used Working
      Canon E016C Idustrial Laser with Cast Mount VR1 BH8-1835-01 Untested As-Is
      BALDOR W067/0069 20612E D121 124 02 A Brushless AC Servo Motor Used
      WJ Watkins-Johnson 903168-001 WJ952 MFC Regulator PCB Card A00072 Used Working
      Nikon 4S018-160 Interface Control Board PCB RL-L? NSR-S202A Used Working
       Nikon 4S007-843 Interface Relay Board PCB EX-AIS NSR-S202A Used Working
      Nikon 4S007-665 Interface Control Board PCB FIAAF-TYUUKEI-V NSR-S202A Used
      Lenze 0.37 28-12.622.10 1-71L/4 Motor Nordiko A03520 9550 Used Working
      WJ Watkins-Johnson 905919-001 Hi-Res Temperature IFC PCB ADB1 Used Working
      Chiba Precision ENC-153902G Servo Motor SJP Nikon NSR System Used Working
      Nemic-Lambda NND15-1515 DC Power Supply Reseller Lot of 2 New Surplus
      ASM 1036-636-01 Facilities Digital I/O Board PCB DRT2-MD32BV-1 New
      Nikon 4S013-284 Interface Board PCB IM-ENDC3 NSR-S204B Used Working
      Asyst Technologies 9701-1059-01 Interface Relay Board PCB Assembly J1074 Used
      TEL Tokyo Electron 2981-600410-11 PRA Z Board PCB ACT12-300 Used Working
      TEL Tokyo Electron 2981-600418-11 I/F Board #007 PCB ACT12-300 Used Working
      TEL Tokyo Electron HTE-PRB-D-11 PRB Panel I/O Board PCB TAB6100 ACT12-300 Used
      Metron D127542-062S Dark Space Shield SPA 12 New Surplus
      TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used
       Mitsubishi MR-J2S-60B-S087 AC Servo Driver MELSERVO Used Working
      SRC SVB-03VMEA VME System Bus Backplane Board SYSTEMBUS NSR-S204B Used Working
      Micropump L19791 MICRO PUMP PEEK NEODYNIUM MAG.
      Muto Technology MR-23325 AL Cryo Shield 4179913-0001 MRC D121506
      AMAT Applied Materials 0200-36541 Lid Liner Used Working
      BALDOR W069/0164 D121124 02 Brushless AC Servo Motor Used Working
      Nordiko Watchdog Module N600613EE 9550 Shield Metal Film PVD System Used Working
      Granville-Phillips 275203 Dual Convectron Gauge Set with Cable 303040-50 Used
      Lam Research 810-057038-002 Outer Arm PCB 810-057038-2 Set of 2 New Surplus
      WJ Watkins-Johnson 903171-001 Liquid Source I/O PCB A00073 Used Working
      Mykrolis WDFV80W2F Filter Chem-Line II 0.1μm New Surplus
      AB Allen-Bradley 160-AA02NSF1P1 Speed Controller Reseller Lot of 4 Used Working
      Eurotherm 462/062/13/19/008/055/96/00 Analogue Input Thyristor Unit 462 Used
      Eaton WMZT3D25T Circuit Breaker Reseller Lot of 12 New
      AE Advanced Energy 2196-000-A DC Interface Module Display Panel Used Working
      Hitachi HT94219A Interface Relay Card PCB PI01 Ver. H M-712E Used Working
      Lam Research 716-330915-001 ESC Insulator Ring 9408 Etcher Refurbished
      TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used
      TEL Tokyo Electron FPC-T0097A-11 IF Fan Control Board #03A PCB TOB1102A Used
      Sanyo Denki P20B13100FXSA2 Servo Motor TEL 5086-403316-12 Lithius DEV ASSY Used
       TEL Tokyo Electron 2981-600409-11 PRA X Board PCB 2908-600409-11 ACT12 Used
      SRC SBV-03E 32bit IO Bus Expansion Back Board PCB NSR-S204B Used Working
      DAIHEN CMC-10 Tuning Control Unit Used Working
      HIne Design Elevator Assembly Lam Research 590 Plasma Etcher Used Working
      Muto Technology MR-22406 SS Dark Space Ring 4182275-0001 MRC D119223
      AMAT Applied Materials 0021-24804 Cover Ring 8" Refurbished
      Shinko SBX93-100052-11 Interface Board PCB SLPCN3 SBX08-000032-11 Used Working
      Nikon 4S007-849 Interface Relay Board PCB EX-S/H NSR-S202A Used Working
      Nikon NVC8-2SD5A CCD Camera Head 4S585-496 NSR-S202A Used Working
      PL Pro-Log 980758-001 TTL I/O Card APL PCB Used Working
      R.L.C. Enterprises PIO-64A Input/Output PCB Used Working
      ASM Advanced Semiconductor Materials 03-188870D01 DC Interlock III CE PCB New
      Swagelok 6LVV-MSMHPHF40173P1CMF060 Manifold MSM Reseller Reseller Lot of 2 New
      Nikon NVCEX-2SD5H-B VGA Camera Module XC-7500 NSR System Used Working
      SVG Silicon Valley Group 162340-001 SCR Firing Card PCB Thermco Systems Used
      ASM 16-189630D01 Hot/Cold Centering Support Susceptor 300MM Lot of 3 New
      MKS Instruments 252E-14578 Exhaust Valve Controller Type 252 Used Working
      Shinko SCE93-100009-C1 Interface Board PCB SLPCN3A-1 SBX08-000032-11 Used
      TEL Tokyo Electron EPC-T0091A-11 EXT IF Board #01 PCB TOB1096 ACT12-300 Used
      TEL Tokyo Electron HA-039 Board, DC/DC CONV SWC PCB ACT12-300 Used Working
      Panasonic MFA090HA5NSC Servo Motor Assembly TEL 5086-403306-12 Lithius COT Used
      TEL Tokyo Electron 2981-600310-12 DC/DC Converter Board PCB ACT12 Used Working 
      Kofloc 3810M Mass Flow Sensor 3810 60 SLM N2 Edwards A53340003 iH Tested Working
      AMAT Applied Materials 3700-01883 Duro Black O-Ring Reseller Lot of 60 New
      Applied Ceramics 40-588-003B Insulator Outer Tegal 95-00054A
      EBM PAPST R4E355-AN09 FAN-BCKWRD CURVED CENTRIFUGAL ASM 40-106215A31 
      Spectra-Physics 0129-5317 Laser Diode with Fiber Optics Cable
      BALDOR W129/1418 D121 124 02 Brushless AC Servo Motor Used Working
      Nemic-Lambda CCB007C Power Supply Board PCB Used Working
      Cambridge 2T-80110GLP-A398-0 Absolute Filter II Chassis Nikon NSR-S202A Used
      Nikon 4S007-666-A Relay Control Board PCB FIAAF-TYUUKEI-H NSR-S202A Used Working
      WJ Watkins-Johnson 902468-001 Output PCB Card WJ951 A00067 Used Working
      Chamber Performance Services 500128172 Exhaust Purge Line RP300EPI New
      Mykrolis GHPV01PLT Filter Guardian HP 10" 0.1μm Reseller Lot of 4 New Surplus
      Jeongil JI-DM2-P Touch Screen Interface Module Reseller Lot of 3 Benecom Used
      Olympus BH2-UMA Microscope Head MSPlan 10 MSPlan 50 MSPlan 100
      Fluoroware FM-12F-37850-P Flowmeter Accu-Tek Reseller Lot of 3 Used Working
      AE Advanced Energy 3152196-000A DC Interface Module Display Panel Used Working
      Hitachi HT94219A Interface Relay Card PCB PI01 Ver. H1 M-712E Used Working
      AMAT Applied Materials 0021-10725 RF Connection Roof Inner Coil IPS New
      TEL Tokyo Electron 2981-600514-11 EXT DIO Board PCB SP001 ACT12-300 Used Working
      TEL Tokyo Electron 3Z81-000011-V1 Interface Relay Board PCB TDB343-1/AC Used
      AMAT Applied Materials 0020-22892 Bucket Shield Used Working
      Omron R88S-H306G Power Supply Module Used Working
      Kokusai Electric D2E01309A CPU Board MCPU3 A/1 PCB Vertron Used Working
      ASML 4022.471.4657 Interface Board PCB Card S15 4022.471.46581 Used Working
      Inficon IGG26750A Compact Pirani Vacuum Gauge TPR265 Used Working
      ASML 4022.471.4504 MSL LED Indicator Board PCB Card Used Working
      ASML 4022.471.6809 Interface Board PCB Card 03 4022.471.57531 Used Working
      Inficon IGG26000 Compact Full Range Vacuum Gauge PKR251 Used Working
      TEL Tokyo Electron 3D08-000019-12 PCB TYB513-1/IOGS TEL T-3044SS Used Working
      Kokusai CX3010 Touch Screen w/pen Kokusai Zestone DD-1203V 300mm Used Working
      VAT B90002031 Pneumatic Gate Valve BGV LOTO Edwards Copper Cu Cut Wires As-Is
      Advandtest SGMAH-01A1A-AD11 Linear Actuator Yaskawa SGMAH-01A1A-AD11 Motor Used
      Opal 50312445000 Teach Pendant Controller SemVision cX AMAT Untested As-Is
      NSK E043ZZIF1-012B PCB Board Z-I/F TEL Tokyo Electron Lithius Used Working
      Edwards E21909516 iQDP Power Cable Head Lot of 4 iQDP40 iQDP80 Used Working
      Kognel F-DAVP070-3W Pneumatic Valve Photoresist Lot of 10 TEL Lithius Used
      TEL Tokyo Electron 2L08-050053-11 Circuit Board TYB513-1/IOGS-LF T-3044SS Used
      TEL Tokyo Electron 2L81-050065-V1 PCB TYB517-1/IOAS-LF T-3044SS Used Working
      Honda W-357-3MP Pulse Jet Power Supply Ultrasonic Cleaner Sigmameltec Used
      Advantest TO406B10.5" Display Control Advantest M454AD Used Working
      Jikco COX-B7A Monitor Alarm Box Nikon 4S587-736 NSR-Series Used Working
      TEL Tokyo Electron F12-Non Cu-026 Wafer Rinse Wash Cup Assembly Used Working
      AMAT Applied Materials 0050-60347 90° High Vacuum Elbow ISO80 4VCR Used Working
      TEL Tokyo Electron 2L81-050054-V1 Circuit Board TYB514-1/I048-LF T-3044SS Used
      Panasonic MSMA021A1H AC Servo Motor Rail Kokusai Zestone DD-1203V Used Working
      Yamamoto MS61L MS61ALV120D Differential Pressure Switch Lot of 33 Zestone used 
      Hama Sensors ROX-43AIC-14.5 Laser Sensor Used Working
      Nikon 4S065-417 Power Supply Nikon NSR-Series Used Working
      TEL Tokyo Electron 5004-200011-11 Wafer Rinse Wash Cup 5004-200008-11 Used
      Kokusai Electric TM104-HKT-PN Color LCD Monitor Zestone DD-1203V Used Working
      Anelva Angle Isolation Valve Kokusai Zestone DD-1203V 300mm Used Working
      Radiation Power Systems UTS 3065 IGNITER Used Working
      Advantest M454AD SGMAH-A5A1A-AD11 Linear Actuator Yaskawa SGMAH-A5A1A-AD11 Used 
      Advantest TO406B Display Control 10.5" Advantest M454AD Used Working
      Yamamoto MS61ALV120D Differential Pressure Switch Lot of 7 Used Working
      Dainippon SD16L-24SH W Resistivity Control Unit eFlow used working
      AMAT Applied Materials 0020-52929 Graphite G3 Holder Used Working 
      RTE-111 Neslab Instruments 134103200101 Refrigerated Bath Used Tested As-Is
      Nikon 4S019-137-B Circuit Board PCB REX-DRV3 NSR-S307E Used Working
      Hitachi Electrostatic Chuck Electrode 300mm Used Working
      Edwards B58120000 Vibration Isolator Bellows SS DN100 ISO100 EXT Pump Used
      AMAT Applied Materials 0270-03559 Calibration Tool Cooldown/DEGAS 300mm New
      Dainippon SD16L-24SHI W Resistivity Control Unit eFlow [cut cable] used working 
      SoftSwitching Technologies DS10002A120VS410001A Dynamic Voltage Sag New
      Lam Research 716-330167-281 Ceramic Bottom Ring Clamp 420D0019 Refurbished
      Lam Research 716-330162-002 BAC Ceramic Filler Ring Flange 420D0018 Refurbished
      SAES Getters PS4-MT3-R-1 Heated Gas Purifier System Used Working
      M.C.S.I. IND-88-4 CPU Single Board Computer Equipe PRE-1049 Used Working
      Fortrend 120-1004 Wafer Load Station F-8025 Copper Exposed Tested As-Is
      M.C.S.I. IND-88-4 CPU Single Board Computer Equipe PRE-1122 Used Working
      Lam Research 715-330151-004 ACTR Flange Ring 420D0027 Refurbished
      Computer Recognition Systems 8946BC302 VME Overlay Interface PCB Used Working
      M.C.S.I. IND-88-4 CPU Single Board Computer Equipe PRE-1062 Used Working
      Lam Research 715-025724-001 Flanged Gas Feed Ring 420D0026 Refurbished
      Soshin HF3250C-TOA EMI Filter Kokusai Zestone DD-1203V 300mm Used Working
      RECIF Technologies ANA Automatic Wafer Notch Finder Used Working
      Opal 70512360100 SDT Assembly AMAT Applied Materials SEMVision cX Used Working
      Fortrend 120-1004 Wafer Load Station F-8025S Tested As-Is For Parts
      M.C.S.I. IND-88-4 CPU Single Board Computer Equipe PRE-1409 Used Working
      MicroTool EL-2000 200mm Electronic Level Wafer Kit Used Working
      VAT 0200X-BA24-AIE2 Pneumtaic Slit Valve Used Working
      Fortrend 120-1004 Wafer Load Station F8025 Tested Not Working Auto Fault As-Is
      Camco 70RGD0H20-360 Roller Gear Index Driver Used
      Hitachi Tank5 Nitric Clean Controller MU-712E Used Working
      Hitachi Tank4 Rinse Controller MU-712E Used Working
      RadiSys MPCBL0001F04 High Performance Single Board Computer PCB Card Used
      Daihen CMC-ADP2 Microwave Auto Tuner Box Used Working
      Hitachi Tank2 Rinse Controller MU-712E Used Working
      Omron E5EK-AA2B Digital Temperature Controller Used
      Daifuku SCB-3618A Power Relay Board PCB Used Working
      Compare Autocal 100-0090-01 Interface PCB Used Working
      Ohaus Precision GT2100 Digital Scale Used Working
      Millipore W2501KP01 Teach Pendant Photo-250 Used Working
      ASML 4022.471.5616 Interface Board PCB Card S15 4022.471.56171 Used Working
      AMAT Applied Materials 0040-23526 Slit Valve Door Plate New
      Daifuku CCB-3600A Power Board PCB Used Working
      Nikon 4S007-930 Board PCB FIAAF-TYUUKEI-A 4S007-948 Lot of 2 Used Working
      Mitsubishi FXON-3A I/O Block FXON-24MR-ES Used Working
      Nikon 4L990-452 Magnifying Lens Used Working
      Modus Instruments DA-4-04M-0-RR-15-114 Display Alarm Reseller Lot of 5 Working
      AMAT Applied Materials 0100-00546 Analog I/O AIO PCB Rev. 002 Used Working
      AMAT Applied Materials 0020-25373 300mm XP Degas Reflector New Surplus
      SMC C92LADF63-100-D-A53L3 Double Acting Cylinder C9LA63-DA Used Working
      Nikon 4L990-429 Magnifying Lens NSR Used Working
      ASML 4022.472.0696 MCCB-2 Prodrive Board 4022.471.7605 6001-0301-6004 Used
      Nikon 4L990-253 Magnifying Lens Used Working
      AMAT Applied Materials 0200-03626 Endura Clear Lid Kit 300mm New
      B&G Precision 0097572-001-AA Calibration Mounting Assembly New Surplus
      Nikon 4L990-453 Magnify Lens Assembly Used Working
      Schlumberger 740021410 DC Power Supply Rev. 02 Used Working
      ASML 4022.471.7729 Relay Board 4022.471.7951 Reseller Lot of 2 Used Working
      Nikon 4L990-451 Magnifying Lens Used Working
      VAT 26332-KA71-1001 Angle Isolation Valve Lot of 5 Used Working
      Lam Research 810-17082-001 16 Channel Heat/Cool PCB Rainbow 4420 Used Working
      Pentagon Technologies PT-0143-0011 RF Shield Endura 8" PVD New
      Omega RD8804CD Paperless Recorder and Data Acquisition System RD8800 Used
      VAT 01034-KE44-0004 Mini UHV Vacuum Gate Valve Body Only As-Is
      Harmonic Drive Systems MOENTA74--G Speed Reducer 17-50-179468-1 New Surplus
      Sanyo Denki 103H7851-70E1 Stepping Motor StepSyn TEL Lithius Used Working
      Sanyo Denki 103H3505-30GEJ2 Stepping Motor Gearhead G10-308 TEL Lithius Used
      Iwaki MD-30RZM-200N Magnet Pump Used Working
      AMAT Applied Materials 0020-70271 Left Blade Shaft Assembly New Surplus
      Siemens 3RT1065-6...6 Motor Starter Contactor Sirius Used Working
      Edwards NGD862000 Vacuum Pump Additional Parts Cable Kit New Surplus
      JC Schumacher VAP-VS4 Solid Source Vaporizer Retrofit System New Surplus
      Tegal 99-126-006 Interface Board PCB ICG-6 Used Working
      Semitool 16799-01 32-Bit Output Board PCB Refurbished
      AE Advanced Energy 2196-000-01 DC Interface Module Display Panel Used Working
      TEL Tokyo Electron 1810-250048-11 Cover Set I/L P1 P2 A-RDC New
      Mechatronics SA035CPO Precision Thin Section Bearing SilverThin Lot of 2 New
      AMAT Applied Materials 0270-09227 Chamber Lid View Port 0021-02640 Used Working
      Sanyo Denki 103F3505-30XE42 Stepping Motor StepSyn TEL Lithius Used Working
      Sanyo Denki 103H5510-70E9 Stepping Motor TEL Tokyo Electron Lithius Used Working
      Minarik Drives PCM23001A SCR Drive Reseller Lot of 4 Used Working
      Eurotherm TU1451 40A/480V/115V/0V5/PA/ENG/CCC/EIP/V2/IR/96/NO/-//00/ Used 
      Kondoh Kohsya LM-101 Chemical Monitor DM-007 Sample Port Tsukasa T-2170B Used
      Sanyo Denki 103H6501-70E4 Stepping Motor StepSyn TEL Lithius Used Working
      Sanyo Denki 103F3505-30XE42 Stepping Motor Assembly StepSyn TEL Lithius Used
      TEL Tokyo Electron AP9Z-2033A Board Add-On Spin #02 PCB TKB7043 Used Working
      TDK RKW24-4R5C Power Supply Used Working
      AE Advanced Energy 3152334-000B Interface Monitor Display Panel Used Working
      Thermonics 1B-100-XA 2420 Boom Head Control Board PCB 1B-100-1D Used Working
      AMAT Applied Materials 0190-38830 Slit Valve Door Plate New Surplus
      TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.10B TAS300 Load Port Used
      TEL Tokyo Electron 3D81-000063-V5 Processor PCB Card TYB517-1/IOAS Used Working
      TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B TAS300 Load Port Used
      Micromanipulator Model 110 Precision Pneumatic XYZ Right Probe Positioner Used
      Matrox 63039620227 Image Processing Module SBC F2M56C00000AS0R 4Sight II Used
      Thermonics 1B-086-1A Flow Control Board PCB 1B-086-1D Used Working
      TEL Tokyo Electron 3D81-000035-V2 Interface Board PCB TYB62C-1/TOP2 Used Working
      RECIF Technologies MOBBH0131D CPU Processor Board PCB CPUAH0027A Used Working
      TEL Tokyo Electron 3D81-000102-V1 Interface Board PCB TYB61C-1/TOP1 Used Working
      Poly-Flow Engineering EA-019 Auto Flow II Micro Controller Board PCB Used
      ASML 6001-0202-2801 Prodrive Controlled Power Module CPM-P20 4022-470-8838 Used
      Eurotherm 461-083-28-43-00 Thyrister Control Unit Balzers B5 261 101BQ Used
      Leybold Vacuum 307285-2003 System Controller Reseller Lot of 2 Used Working
      Komatsu Electronics BAMA01160 Power Supply PCB Board CADK00340 TEL Lithius Used
      Festo CPV-10-VI3212 Pneumatic Solenoid Valve Manifold CPV10-GE-MP-8 AMAT Used
      Nidek IM-14 Wafer Autoloader Lift Motor Assembly Lot of 2 for Repair As-Is
      Panasonic MSMA102P1H AC Servo Motor TEL Tokyo Electron Lithius Used Working
      Hitachi 9K1038H Recorder AC 100 V9 60Hz PBP-3201-22 M-511E Used Working
      Taiyo Machinery NP8148Q010-1 PCB Board 12SCRA X TEL Tokyo Electron Lithius Used
      Lam Research 852-017750-001 Remote Damagaed Used Untested As-Is
      Komatsu Electronics BAMA01170 Power Supply PCB Board CADK00340 TEL Lithius Used
      A to Z Electronics 70412490501 BDM AMAT SemVision cX 300mm Untested As-Is
      MKS Instruments KF50 Stainless Steel Vacuum Flex Bellows Pipe 100 1/2” HPS Used
      Nidek S1470-PC2592A Wafer Autoloader PCB Board IM14-MF1 OF/θ Used Working
      TEL Tokyo Electron 3D81-000100-V1 Circuit Board TYB61E-1/PS1 T-3044SS Used
      TEL Tokyo Electron SPC-T0046A-11 PCB I/F STP #02 TOB1046 T-3044SS Used
      AMAT Applied Materials 0020-10014 Lift Ring P5200 Precision 5200 Used Working
      Nidek S1193-PC2419A IM-14 Wafer Autoloader Stage Assembly Olympus OMS324 As-Is
      AMAT Applied Materials 9090-00314 Power Supply daq MkII type H Used Working
      ASML 4022.470.2016 Error Display Module Twinscan XT:1250 Untested As-Is
      Electroglas 247222-002 Linear Motor Subsystem Assembly PCB Rev. L Used Working
      Festo 61-285456-00 Pneumatic Cylinder DSW-75-12.7-P-A-SA33504KR Used Working
      ASML 4022.471.7488 Power Supply S26 Used Working
      Daifuku CNT-2686A Encoder OPC PCB Board 2686A Used Working
      ASML 4022.470.5314 Module SHB-BF II Twinscan XT:1250 Used Working
      Meiden UA024/755H Industrial Computer UPIBOC-I Used Working
      Electroglas 8767-1/001 Dual CCD Camera Module Electroglas 4085x Used Working
      Omron S8PS-30024C Power Supply Lot of 10 Used Working
      AMAT Applied Materials 0100-76088 Centerfinder Sensor Board 5 6 8 Inch PCB Used
      Asyst 9700-5819-01 FFU Fan Filter Controller CMS II Rev. 4 ASM Epsilon 3000 Used
      Lomac 8638-222-02 High Voltage SCM PCB Card Used
      Hitachi 566-5504 CPU PCB Card 566-5503 S-9300 Used Working
      VAT F03-102433 Pneumatic Slit Valve Used Working
      Digi International (1P)50000175 Communication Board 30000352-02 Used Working
      Hitachi 566-5508 Panel-C PCB Centura RTP AC CAB Used Working
      VAT F03-111836 Pneumatic Slit Valve Used Working
      AMAT Applied Materials 0240-03251 Mounting Chiller Lines Kit Endura Used Working
      VAT 84429-R1 Pneumatic Slit Valve Used Working
      ASM AC/DC Input/Output Module Epsilon 3000 Used Working
      TEL Tokyo Electron SH5M015T1 Resist Filter Assembly ACT12 Used Working
      Particle Measuring Systems FiberOptic Interface Module FiberVac Used Working
      DNS Dainippon Screen Hot Rinse Tank Module DS-1211-ANALOG FC-3000 Used Working
      DNS Screen TANK#1 SLAM Etch Temperature Controller Process Bath FC-3000 Used
      Sanken Electric MLT-DCBOX5 Power Supply Unit MMB50U-6 Used Working
      VAT 61144-PH52-AAM1 Throttle Valve Used Working
      SMC AF3000-03-2R Regulator Filter Assembly AR2500-03G-R-X182 Lot of 6 Used
      CKD N4S0-T30 Solenoid Valve Manifold N3S010 Lot of 7 Used Working
      Novx Digital Workstation Monitor Series 6000 Used Working
      DNS Dainippon Screen Wafer Reader Module FC-3000 New Surplus
      Fostec Fiber Optic Cable Assembly Lot of 2 Nikon OPTISTATION 7 Used Working
      AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Used Working
      TEL Tokyo Electron 3208-000141-12 PCB Indexer Base 97 3281-000141-12 P-8 Used
      Teradyne Interface PCB 950-944-02/04210 Used Working
      Horiba HF-960M Concentration Monitor HF/HCl/NH3 Meter AMAT 70901-09 Used Working
      Panasonic TEL P-8 Ball Screw Assembly MSM021P2A Used Working
      SUNX Sensors SFI-AC Safety Sensor Box Used Working
      Showa Optronics GLG5414 HeNe Laser Not Working As-Is
      Taisei Denki TD-13659 Blue Power Transformer TE1B-720-EN-NF FC-3000 Used Working
      Millipore WCDS000F4 Dispense Controller Used Working
      Marathon Electric DVB-56T17T5305E P G580 1/3HP Motor Fan KLA-Tencor 2365-UI Used
      Hitachi E84 Box Power Module I-900SRT Used Working
      Marathon AVL56T17T5305E P 1/3HP Motor Fan KLA-Tencor 2365-UI Used Working
      Lam Research 810-02460R Extended Heated Ceramic Liner Used Working
      Lam Research 810-02433R 10 Hole GDP Cool Plate Used Working
      AMAT Applied Materials 0140-38097 IPS CHDIPS032010 Lower Lamp Connector Used
      Akrion 9652001A-00 Quartz Tank Sight Tube PVDF 94110 SCP 9400 New Surplus
      Fanuc A20B-1004-0960/04B AC Servo Power Supply Board PCB Used Working 
      Millipore WGEN02KP1 Hand Held Robot Teach Pendant Used Working
      EOL TouchSystems 450261-000 Rev.M.1 RJD 4090 Monitor New Surplus
      MKS Instruments LPV1-50-IK-CNVS-240 Square Body Inline Valve KF 50 New
      Hitachi 568-5520 Relay Card PCB PM. Count. Used Working
      AMAT Applied Materials 0140-38099 IPS RF Side Lower Lamp Connector Assembly Used
      AMAT Applied Materials 0140-38098 IPS HE Side Lower Lamp Connector Assembly Used
      Seiko Seiki P005Y008Z895-3 Power Supply Board PCB SR2 SCU-H1000C Used Working
      Ceyon Technology TRA-5 RFID Tag Reader Arbiter New Surplus
      Ceyon Technology REM125-5C RFID External Antenna EA125-C New Surplus
      TDK FAW24-4R2 Power Supply Board PCB Nikon NSR-S204B Used Working
      Seiko Seiki P9-HF Power Module CON2 Inverter SCU-H1000C Used Working
      Siemens 3TF6933-1D Contactor 820A/600VAC Used Working
      SCP Global Technologies 40005728-00 Door Plenum Insert Front Access 9400 Used
      SCP Global Technology 190-170-1B EMO Alarm System Controller PCB 9400 Used
      SCP Global Technology 1901701B EMO Alarm System Controller PCB 9400 Used 
      Ceyon Technology REM125 RFID Multi-Port Reader REM 125 New Surplus
      ECI Technology LU0960 PSD/2 Syringe Pump HVX Drive Rev. A QLC-5100 Used Working
      Hine Design 8045R2-1 Robot Teach Pendant Hand Held Controller Used Working
      Nikon 4S013-364 Interface Board PCB BLPWX4 NSR-S205C BMU Used Working
       Optimised Control D281 Motion Controller Card PCB esMINT v2.67j/m Used Working
      Fuji Electric CSP-320FB-E Power Supply Yaskawa NXC100 Used Working
      TEL Tokyo Electron 1810-3500068-11 Gas L A-RDC-2E Block New Surplus
      KoMiCo 020-518-ID200 Upper Sub Electrode Used Working
      Digital Electronics MON614001KA Monitor MON614001XX KLA-Tencor AIT Fusion Used
      Delta Design 1686300-502 Power Distribution Board PCB 1686299 Used Working
      Air Products CRSD 1243 Pneumatic Distribution Board PCB CRSD1243 Used Working
      TEL Tokyo Electron 3D81-000041-V2 Processor PCB TYB62G-1/SYS2 T-3044SS Used
      TEL Tokyo Electron 3D80-000766-V2 ECC2 Controller MC Rack Card T-3044SS Used
      Kensington Laboratories 77-4000-6107-00 Arm Axis PCB Card HTL2A Used Working
      Nikon 4S019-192 Pneumatic Control Board PCB REX-AIR3 NSR-S307E Used Working
      Therma-Wave 14-020990 Shutter Power Driver Board PCB 40-015811 Used Working
      TEL Tokyo Electron 3D81-000040-V2 Processor PCB TYB61F-1/SYS1 T-3044SS Used
      TEL Tokyo Electron 3D81-000045-V2 Processor PCB TYB62H-1/OPF2 T-3044SS Used
      TEL Tokyo Electron 3D80-000766-V1 ECC2 Controller MC Rack Card T-3044SS Used
      Dynax DNX1157 Processor PCB Card Fics-Atoms AT TEL Tokyo Electron T-3044SS Used
      TEL Tokyo Electron 3D81-000044-V3 Processor PCB TYB61K-1/OPF1 T-3044SS Used
      TEL Tokyo Electron 3D80-000766-V3 ECC2 Controller MC Rack Card T-3044SS Used
      AMAT Applied Materials 0100-09153 Gas Panel Board PCB P5000 Precision 5000 Used
      GE Fanuc Series 90-30 10-Slot PLC Controller System IC693PWR321W Used Working
      Opal 70513580000 Illumation Board PCB Card AMAT Applied Materials VeraSEM Used
      Tadin TAL 815200000 TadiGuard Process and Machine Enchancer 006 Used Working
      VAT 12144-YA24-AJP1 Gate Valve Insert DN160 AMAT 3870-04640 New Surplus
      TEL Tokyo Electron 3D81-000036-18 PCB TYB61E-1/PS1 T-3044SS Etcher Used Working
      TEL Tokyo Electron 3D81-050024-V1 PCB TYB61E-1/PS1 T-3044SS Used Working
      HMS ABX-EMBS-PDPS Anybus X-Gateway Module Profibus Slave AB763A-B Used Working
      TEL Tokyo Electron 3D81-050030-V1 PCB Board TYB622-1/GAS2 T-3044SS Etcher Used
      SVG Silicon Valley Group 99-38150-02 Shuttle IES Arm 9003 Used Working
      TEL Tokyo Electron 3D81-00004-15 PCB TYB622-1/GAS2 T-3044SS Used Working
      CKD N4S010 Pneumatic Solenoid Valve Manifold & OPP3-1H Lot of 3 Used Working 
      SVG 99-38150-02 Shuttle IES Arm 9003 Lithography with Horizontal Guide Used 
      AMAT Applied Materials 9240-06477 Kit Vortex Lens Fast Maint New Surplus
      TEL Tokyo Electron 3D81-000037-41 PCB TYB62D-4/PS2 T-3044SS Used Working
      Opal 70512360100 SDT Module AMAT Applied Materials VeraSEM Used Working
      OneAC 012-007 Power Conditioner CC1128 Used Working
      Kensington Laboratories 77-4000-6107-00 Arm Axis PCB Card 36-4823-0017-02 Used
      MKS Instruments 1479A22CH1BM--S Pressure Controller 200 SCCM Ar Refurbished
      Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 200 SCCM Refurbished
      Celerity UFM-8100 Mass Flow Controller 50 SCCM N2 Refurbished
      KLK Inc A-10 Ergo Flipper Right and Left Lot of 3 As-Is
      MKS Instruments 750B12TCD4G Baratron Pressure Transducer Type 750B New
      Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 500 SCCM Refurbished
      TEL Tokyo Electron P1264 Telius Clean Trench Shutter Cleaned Used Working
      Edwards SDT Gas Block (KROM) Manifold VAS1-0/10R/NQ DG17VCT8-SWG New
      Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 1500 SCCM Refurbished
      Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 10 SCCM Refurbished
      Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 3000 SCCM Refurbished
      Sony DXC-970MD 3CCD Color Video Camera & Nikon 38SFB-0.45xT TV Lens Used Working
      MKS Instruments 683B-23795 Control Valve Type 683 Used Working
      Varian E1928510 Water Filteration Assembly Untested As-Is
      Brooks Instrument SLA7950D1EGG1A2A1 Mass Flow Controller 2000 SCCM Refurbished
      MKS Instruments 640A11TW1V22F Pressure Controller 10 Torr Refurbished
      Komatsu Electronics ABCBA00090 AIC-7 Temperature Controller AIC-7-12-UC-D Used
      Nikon 4S007-931 Board PCB FIAAF-TYUUKEI-H NSR Scanner Used Working
      Hitachi 568-5559 PS DISP PCB Card S-9300 CD Scanning Electron Microscope Used
      VAT B90002041 Pneumatic Gate Valve BGV LOTO Used Working
      Granville-Phillips 275821 Differential Output Amplifier Series 275 Lot of 5 Used
      VAT 03009-NA24-1001 Slit Valve Novellus Concept II Altus Used Working
      VAT 61144-PA52-1008 Throttle Valve Used Working
      Oriental Motor PK243M-01BA Motor Vexta A193201 Used Working
      VAT 03109-NA24-AJX1 Slit Valve Novellus 02-115278-00N Concept II Altus Used
      Kensington Laboratories 77-4000-6107-00 Waist Axis PCB Card HTL2W Used Working
      Kensington Laboratories 77-4000-6108-00 Axis Multilink PCB Card v10.45 ZCHD Used
      AMAT Applied Materials 0100-90710 Vacuum Gauge Interface Board Rev. D Used
      VAT 03009-NA24-1004 Slit Valve Novellus Concept II Altus Used Working
      Oriental Motor USM540-402W Speed Control Motor Lot of 4 Used Working
      Opto 22 PB32P2 Relay Board PCB ODC5Q MRC Materials Research Eclipse Star Used
      Dalsa TA-L2-04K30-50E Lens Module Hitachi I-900SRT Lot of 2 As-Is
      MKS Instruments AS01391-22 Control PCB Card AMAT 0190-26786 Used Working
      SVG Silicon Valley Group 99-80295-01 Power Supply Safety Reset PCB Rev. D Used
      Kokusai VDF Vertron Exhaust Monitor D12549 Used Working
      Anelva H13-1798 Photocoupler Module Used Working
      Millipore CPOKN3489A Pendant Controller Used working
      Opto 22 PB32P2 Relay Board 884-15-000 MRC Materials Research Eclipse Star Used
      SVG Silicon Valley Group 99-80266-01 Station CPU PCB Card Rev. J 90S DUV Used
      Opto 22 PB32P2 Relay Board PCB IDC5Q 8 MRC Materials Research Eclipse Star Used
      MRC Materials Research 883-87-00 PCB Board Eclipse Star Used Working
      Thermalogic 06-49879-01 PCB Control Board RA2011-11 SVG 90S DUV Used Working
      KLA-Tencor Dual SMIF Interconnect I/O PCB 0037918-00 Rev. AD Used Working
      Square D Vertron Circuit Breaker KAL262001127 Lot of 4 Used Working
      SUNX SC-MIL SC-T8J FX-301B FT-WA8 Photoelectric Sensor Modules Lot of 4 Used
      Delta Design 1686300-501 Power Distribution Board PCB Rev. D Used Working
      Pentagon Technologies 233-3057-99 Ring Shield Holder Anelva PVD System New
      Pentagon Technologies 233-3050-80 Door Shield Anelva PVD System New
      Siemens 6ES5 464-8ME11 Analog Input Simatic S5 Used Working
      Delta Design 1686300-501 Power Distribution Board PCB Rev. C Used Working
      Siemens 6ES5 470-8MA12 Analog Output Simatic S5 Used Working
      Pentagon Technologies 233-3055-12 Door Shield Anelva PVD System New
      Panasonic MSM042A5F AC Servo Motor TEL Tokyo Electron ACT12 Used Working
      Compliance West HTT-1R Function Checker Used
      SIIG MVGA-AVGA3 Video Card PCB Card FK34T6MNVGA Used Working
      SVG Silicon Valley Group 80203C1-01 RS422 Communication Card PCB BD+ Used
      EPSa 013501-064-25/01 Interface Board 20029356 PCB 2029357 Used Working
      TEL Tokyo Electron HA-010 BOARD, IF CSS #02 PCB PHA-010-0 TEL ACT 12 Used
      Meiden JZ91Z-11 Interface Add-On Board PCB NOP OM-2 Used Working
      Shinko SCE93-100037-C1 Interface Board PCB SBX08-000041-11 Used Working
      Fusion Semiconductor 249251 Wafer Handler STD CARD 3 Axis PCB Rev. E Used
      Fusion Semiconductor 344281 System Interface VER II W/ISO SECS-II Rev. B Used
      SVG Silicon Valley Group 80205A-01 SYS90 System I/O Board PCB Used Working
      Helix Technology 8186263G001 Power Supply Board PCB HLX CTI-Cryogenics Used
      Nikon 4S018-649 Interface Relay Board PCB LINK-RX2 Used Working
      Eurotherm 461/081/13/19/008/055/96/00 Analogue Input Thyristor Unit 461 Used
      Intelligent Instrumentation EDAS-2000E-2A PLC Control Unit EDAS-2000E-1 Used
      SVG Silicon Valley Group 99-80266-01 CPU PCB Card Rev. M 90S DUV Used Working
      Siemens FXD63B225L Molded Case Circuit Breaker FXD6-A 225A 600VAC New
      KNIEL 313-101-0405 Power Supply PCB Card CP 5.20/HI 4022.476.01101 Used Working
      Air Products DD 1051 Gasguard 250 Master Control Processor PCB Used Working
      Arcom AIM104-ANALOG I/O Module Board PCB AIM104-ANALOG-IO(5V) Used Working
      Nikon 4S013-189 LINK-RZ Interface Board PCB NSR System Used Working
      Shinko MA-18305 LED Panel Board PCB SW Used Working
      Shinko SCE93-100010-C1 Interface Board PCB SBX08-000033-12 Used Working
      TEL Tokyo Electron MA-15755C LED-Panel Board ORN F4 Used Working
      TEL Tokyo Electron 1B80-001529-11 Module Board PCB 3482944-0A-A Used Working
      Fusion SEMI 288861 Unicassette System Interconnect Board PCB Rev. A Used Working
      Fusion Semiconductor 238781 Signal Tower Control Card PCB Rev. A Used Working
      Nikon 4S013-349 Interface Board PCB LINK-RZ2 NSR-S204B Used Working
      Yaskawa Electric BM9420423 Interface Board PCB SWF Used Working
      ICS Advent 30001-03 Backplane PCB OEMC-10 Used Working
      MKS P99A12TFH62TAA Pressure Controller 54-106931A69 100 Torr N2 Used Working
      Tencor Instruments 317195 Robot Distribution S8000 Board PCB KLA AIT I Used
      WJ Watkins-Johnson 908580-001 I/O Interface Assembly PWB 908579-001 Rev. A Used
      WJ Watkins-Johnson 908580-001 I/O Interface Assebmly PWB 908579-001 Rev. B Used
      Nikon NK2551 EPROM Receiver Board PCB NSR-S204B Used Working
      Everex EV-349-02 Communications Control Card PCB 9005 Used Working
      SVG Silicon Valley Group 99-80271-01 Sensor Multiplexor Board PCB 90S DUV Used
      TEL Tokyo Electron 2981-600669-11 Interface Board PCB CONN CT NDP DRV #01 Used
      TEL Tokyo Electron MA15605B LED Panel Board PCB SW-PANEL Used Working
      Eurotherm Controls 818 Series Celsius Temperature Controller Programmer Used
      Fusion Semiconductor 249181 Dual Cassette Handler Card PCB Rev. D Used Working
      Fusion Semiconductor 8086 CPU CARD PCB PWB 248411 Rev. H Used Working
       Fusion Semiconductor 249331 Pnuematic Interface Board PCB Rev. C Used Working
      TDK RDH05-12R Power Supply ZG010-2 Used Working
      KLA-Tencor 11301400036000 Camera Power Supply Unit 11 301 400 036 000 Used
      Nikon 4S018-908-1 Interface Board PCB Card PNLRESET NSR-S204B Used Working
      Oriental Motor DFU1514W-A2 5-Phase Servo Driver VEXTA Used Working
      Eurotherm 808/D1/0/0/0/0/QLPS/(ERMC400)// Temp Controller 808 Lot of 4 Used
      Eurotherm 808 Temp Controller 808/D1/0/0/0/C2/0/QLPS/(ERNC400)// Lot of 2 Used
      Therma-Wave 14-008217 New Wave PCB Rev. F 40-008216 Used Working
      Kondoh Kohsya LM-101 Chemical Monitor DM-007 Sample Port Olympus DV 185000 Used
      Nikon 4S005-344 Interface Board PCB AF-SENSOR21 NSR System Used Working
      Nikon 4S007-914 RL-BCRHT Right Interface Board PCB Nikon NSR System Used Working
      Air Products AP12251 Front Panel Display Board PCB Used Working
      TEL Tokyo Electron MA-15705 LED Panel Board PCB SW-PANEL Used Working
      Eurotherm 461/083/13/19/ENG/008/055/96/00 Analogue Input Thyristor Unit 461 Used
      Winbond ID2W86855AF Graphics Card PCB W86855AF Used Working
      Varian E15002450 Digital I/O Board PCB Used Working
      Nikon 4S007-901-1 Relay Interface Board PCB X2A-STGP/H NSR-204B Used Working
      MKS Instruments 252D-1-VPO Exhaust Valve Controller Type 252 Used Tested Working
      Dux Inc. ADP-700 Backplane Board PCB 2001-700C Used Working
      TEL Tokyo Electron HA-010 Board, IF CSS #02 PCB PHA-010-0 Lithius Used Working
      Tencor Instruments Wafer Cassette Sender/Reject Indexer Surfscan 4500 KLA Used
      Matsushita AIGT3100B NAiS Programmable PLC System FP0-C14 FP0-E16 FP0-A21 Used
      MuTech M-Vision 1000 Frame Grabber PCB Card MV-1000 Used Working
      Humo Laboratory HC-6002-2 16 Bit Main CPU Controller PCB Card HC6002S-1 Used
      Yamatake SAB10-C4V12 YVME-IF Interface SDS VME Card PCB 81526535-001-03 Used
      Hitachi BBS208-4 System Interface LED Display Connection Board PCB Used Working
      Dalsa TA-L2-04K30-50E Inspection Lens Module Hitachi I-900SRT Used Working
      Tencor Instruments 079553 Photomultiplier Assembly R2066-03 Surfscan 4500 Used
      Humo Laboratory HC-6002-2 16 Bit CPU GEM Controller PCB Card HC6002 Used Working
      Nitto Seiki 950217-1A DSP Processor Board PCB Used Working
      Nikon 4S008-116-A ALGAF-S/D-X4+ Optical Sensor Assembly OPTISTATION 7 Used
      Tencor Instruments Wafer Cassette Accept Indexer Surfscan 4500 KLA Used Working
      MKS Instruments 850B12PCD2GC Baratron Pressure Transducer AMAT 1350-01303 New
      Nikon 4S013-474 Power Distribution Board PCB RLIOP-I/F3 Used Working
      TDK MSE280D Power Supply PCB Card 2EA00E280 Nikon 4S001-106-1 Used Working
      Hitachi 569-5569 Interface Board PCB AVG(3) Hitachi S-9380 SEM Used Working
      Yasunaga YP-40VC Linear Air Pump TEL Tokyo Electron Lithius Used Working
      Various 300mm Silicon Wafer 25 Count Boat Test Wafers with Oxides Used Working
      Nunome Electric EN61558-2-4:1997 Dry-Type Transformer Used Working
      KLA-Tencor CRS-3000 Laser Mirror & Prism Assembly Newport P100-P Used Working
      GPI General Precision RCD-1-4-I Remote Interface Panel SVG 90S Used Working
      Lambda E25534 Power Supply Omega MML400 4B3NA2A-1297 New
      Amray 91168-1 1880 Stage I/F Card PCB 800-2235D Used Working
      Dynax K3AX-085 Teach Pendant Controller used working
      TEL Tokyo Electron 041249 Ion Gauge Vacuum Manifold Assembly 274012 Used
      Berkeley 300-000-666 PCB PX13C AMAT Quantum X Factory Interface Used Working
      AMAT Applied Materials 8047-10 2-Way Split Fiber Optic Cable Used Working
      TEL Tokyo Electron 041247 Ion Gauge Vacuum Manifold Assembly 274012 Used
      Nagano Keiki CE10 Electronic Pressure Switch Lot of 10 Used Working 
      Hitachi PDM-100 DC Power Supply S-9300 CD SEM Used Working
      MKS Instruments AS00348-02 eDiagnostics System Interface Blue Box 4000x Used
      AEG PC-A984-120 Modicon PLC Control System Assembly DEP 216 / AS-BDEP-216 Used
      PRMS Inc. 1005002 Macroillumination Lamp Nikon OPTISTATION 7 Used Working
      Shimaden PAC41-1P-0319-L000 PAC-Series Thyristor Power Regulator Unit Used
      Kniel 301-069-04.03 Power Supply PCB Card CP 3,3.10 ASML 4022.436.57831 Used
      ASML 24V Power Supply 4022.436.79301 Used Working
      AMAT Applied Materials 0100-01032 Vacuum Control Interlock PCB Card XR80 Used
      Leica 768009 Microscope Objective PL Fluotar 20x/0.45 D Used Working
      AMAT Applied Materials 0100-01033 Vacuum Control Interlock Board PCB XR80 Used
      Kniel System-Electronic CPD 12.1 12V Power Supply Card ASML 4022.436.52151 Used
      Kniel System-Electronic CPD 8.1,5/1 8V Power Supply ASML 4022.436.86882 Used
      Lam Research 810-17013-001 Gap Drive Amp Interlock Board PCB Rev. D Used Working
      Kniel System-Electronic CPD 8.8/4 8V Power Supply Card ASML 4022.436.57841 Used
      Kniel CP 24.13 24V Power Supply PCB Card 4022.436.43051 ASML Used Working
      Hitachi 571-71127 Hg-Lamp Position Monitor LMPMON1 571-7117 PCB I-900SRT Used
      Lorex PZN-555-80-1-00-000 Temperature Controller Piezocon Used Working
      Anelva VSP-0971 2 RF Matching Meter Used Working
      KLA-Tencor EMO CD Floppy Drive Module AIT 2 Used Working
      Anelva A12-24285 Electrode Voltage Meter Used Working
      Digi (1P)50001078-01 B Serial Hub PORTS/16EM Hitachi I-900SRT Lot of 3 Used
      TEL Tokyo Electron UI-120A Uniwire System I/O Channel Interface Card P-8 Used
      Siemens 6ES5 318-8MB12 Input Module Simatic S5 Used Working
      GPI General Precision RCD-1-4 I Remote Panel SVG 90S Used Working
      GEMS Sensors 204711 Flow Switch FS-480 1.0 GPM Lot of 4 Used Working
      Aera FC-D980C Mass Flow Controller MFC 200 SCCM Ar Refurbished
      Ludl Electronic Products 73000805 Wafer Flat Notch Finder FLNOF PCB Card Used
      Tylan General FC-260V Mass Flow Controller MFC 400 SCCM 5%PH3/95%N2 Refurbished
      UNIT Instruments UFC-1660 Mass Flow Controller MFC 50 SCCM CH3F Refurbished
      Millipore FC-260 Mass Flow Controller MFC 150 SCCM SIH4 Refurbished
      Aera FC-D980C Mass Flow Controller MFC 10 SCCM 70%He/30%O2 Refurbished
      Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 2 SLPM N2 Refurbished
      Tylan General FC-260 Mass Flow Controller MFC 400 SCCM 5%PH3/95%N2 Refurbished
      Tylan General FC-2902MEP Mass Flow Controller MFC 10000 SCCM HCL Refurbished
      Omron R88M-W75030T-B AC Servo Motor NES100W-N15CXN16C Used Working
      AMAT Applied Materials 0020-04160 Spacer Circular EXT Cathode New Surplus
      FSI Metron 347120-0000 18MM Yellow Optical Filter GG475 Reseller Lot of 19 New
      Tylan General FC-260V Mass Flow Controller MFC FC-260 2 SLM N2 Refurbished
      Tylan General FC-2960MEP Mass Flow Controller MFC 100 SCCM SIH4 Refurbished
      Tylan General FC-2902MEP Mass Flow Controller MFC 200 SCCM CL2 Refurbished
      Medtronic Micro-Rel 3312784 VTI Hamlin Accelerometer PCB Card 100897-1 Used
      Tylan FC-2900M Mass Flow Controller MFC 2900 Series 500 SCCM SIH4 Refurbished
      Millipore FC-2960MEP5 Mass Flow Controller Tylan 2960 5%B2H6/95%N2 Refurbished
      AMAT Applied Materials 0020-13079 Universal Chamber Output Manifold ASL New
      DuPont 620304 K8085 Non-Anodized Bonded Slit Valve Door AMAT SACVD CVD 5200 New
      Tylan General FC-260V Mass Flow Controller MFC FC-260 150 SCCM SIH4 Refurbished
      Omron Z4LC-C28 Parallel Beam Line Sensor Z4LC-S28 Nikon NSR-S307E Used Working
      TEL Tokyo Electron 3D08-000038-11 Circuit Board TYB62E-1/RF T-3044SS Used
      MRC Materials Research 883-90-000 PCB Card Eclipse Star Used Working
      Nikon 4S013-473 Circuit Board PCB SPIOP-MTH NSR-S307E Used Working
      TEL Tokyo Electron 3D08-000039-11 Circuit Board TYB62F-1/PUMP T-3044SS Used
      iQDP Extension Cables iQDP Pump Lot of 10 Used Working
      Nikon 4S013-358 Interface Board PCB RLIOP-I/F NSR-S205C Used Working
      Asyst Technologies 9700-5819-01 FFU Fan Filter Controller CMS II Rev. 005 Used
      Intel PBA 633594-002 SCSI Controller PCB Card MRC Eclipse Star Used Working
      TEL Tokyo Electron Protection Ring Cloudy Used Working
      Unitron ZSM 200m Stereo Binocular Microscope ZF Series 0.6X-3X Used
      Fuji Seiki 1011037 Exhaust Throttle Valve Used Working
      KLA-Tencor 0026346-000 Pneumatic Transfer Assembly Rev. AD Used Working
      Lightwave 227 Controller 110-04 Used Working
      Horiba STEC SEF-8240SM-UC Injection Valve 200 SCCM BTBAS Used
      Fujikin 041249 3-Valve Ion Gauge Manifold Assembly Used Working
      Hitachi K8-022604-23 Gas Flow Control Box AMAT 0190-33364 Used Working
      Horiba STEC VC-1410 Injection Valve 200 SCCM BTBAS Used
      Ultrapure DI/Glycol Tank Used Working
      Pulnix TM-200 CCD Camera with 200M Lens 4529117 Used Working
      Varian 1008-4928 Vista Communications Module Used Working
      MKS Instruments DLT2A213163AA Flow Ratio Controller AMAT 3030-07512 Used
      Merlin Gerin NSF150N Industrial Circuit Breaker Used Working
      Granville-Phillips 352016 Gauge Controller Series 352 Lot of 4 Used Working
      SVG Silicon Valley Group 99-80266-01 Station CPU PCB Card Rev. L 90S DUV Used
      Estek Graphic Processor Board 398-18364-1 Used Working
      SUNX Sensors CX-ND300R Photoelectric Sensor Reseller Lot of 10 Used Working
      Lam Research Tri-Convectron Assembly 853-01620-001-A-C222 Used Working
      Lam Research 853-01620-001 Tri-Convectron Assembly Rev. A 4420 Used Working
      AMAT Applied Materials 672532 RAM Memory Board PCB Rev. C Used Working
      Edwards Interface Module NGE587000 Used Working
      AMAT Applied Materials 672544 Prom Board PCB Card Used Working
      MAC EBP44R-00-2B10 Circuit Bar Reseller Lot of 10 Semitool 61591-13 New
      TDK ZRGT2210-M 250VAC Noise Filter Lot of 5 Used Working
      AMAT Applied Materials 0100-09175 TEOS Gas Interface Board P5000 Used Working
      Meiden UF903 Keyboard/Mouse Extension Units Used Working
      AMAT Applied Materials 0030-76002 Precision 5000 Mark II P5000 Front Bezel Used
      KLA-Tencor 001003 Fast Z Controller PCB Rev. A CRS1010 Used Working 
      AMAT Applied Materials 0200-01086 K(M) SST Focus Ring MXP+ Poly New
      DNS Dainippon Screen 2VC45348 Power Supply Module Used Working
      Lam Research 716-026652-002-B Focus Ring Refurbished
      Branson B5200R-4 Bransonic Ultrasonic Cleaner 5200 Used Tested Working
      Synetics Solutions MA-0190-13786-01 Minienvironment Pressure Gauge AMAT Used
      Leybold 157 30 THERMOVAC Transmitter Pirani Sensor TTR 211 SO New
      Eaton 0897-0041-0003 Chamber-ARC Pinned MOLY ELS TZM New
      UNIT Instruments UFC-1660 Mass Flow Controller MFC 200 SCCM C4F8 Refurbished
      DNS Screen Dainippon LPD Module FC-3000 Wet Station Used Working
      MRC Materials Research 883-90-000 PCB Card Rev. A Eclipse Star Used Working
      Edwards UHV Straight Reducer Nipple Adapter ISO250 ISO-F to 14.125" 12-Hole Used
      Dalsa TA-C1-04K30-50E Video Controller  Used Working
      Nikon 4S001-087 Power Supply Module DENGEN8200 Used Working
      Tylan General FC-280SAV Mass Flow Controller MFC 25 SCCM N2 Refurbished
      UNIT Instruments UPC-1300 Mass Flow Controller MFC 50 SCCM He Refurbished
      RadiSys 61-0575-10 PCB Card 60-0262-01 Eclipse Star 68-0070-11 Used Working
      Edwards UHV Straight Reducer Nipple Adapter ISO250 ISO-F to VG250 Used Working
      Tylan General FC-280SAV-4V Mass Flow Controller MFC 50 SCCM N2 Refurbished
      MRC Materials Research 883-90-000 PCB Card Rev. X4 Eclipse Star Used Working
      Saia-Burgess Controls PCD3.M3120 Central Processing Unit Saia PCD New Surplus
      A to Z Electronics 50312420000 U_COL 8KV Splitter AMAT SemVision cX Used Working
      Internix PF810-ASEHT Hand Controller Teach Pendant Hitachi M-712E Used Working
      Nikon 4S003-028 Relay Control Board PCB DCMD-L2 NSR-S205C Used Working
      Intel 752-520-0016 IMS 368 Channel Mother Board Electroglas 4085X Horizon Used
      Lucas Labs CAT Power Distribution & Control Module Lucas Labs CAT New Surplus
      Hitachi SEM Power Distribution Box S-9380 Used Working
      KLA-Tencor 710-057727-00 Turret Assembly Starlight SL 300 Ursa(IS) Used
      DNS Dainippon Screen WNA Wafer Transfer Unit Assembly FC-3000 Wet Station Used
      Edwards QDP80/QMB1200 Control Box Novellus Concept II Altus Used Working
      Nikon 36020131 Interface Board PCB IOP-I/F ASK NSR Series Used Working
      Nikuni 25NPX11J 3-Phase Induction Motor UG1312T-A1AA Used Working
      Hitachi Robot Stage Power Supply Assembly EWS150-24 S-9300 CD SEM Used Working
      A to Z Electronics 50312410000 Scintillator 8KV Splitter AMAT SemVision cX Used
      Nikon Pneumatic Regulator Assembly Nikon NSR-Series Untested As-Is
      Edwards D37207000 Electrics Module iQDP40 Tested Not Working EMS Alarm As-Is
      Therma-Wave 18-007418 Lens Optics Detector Rev. F1 Opti-Probe 2600B Used Working
      Hermos TLG-L1-1000-S0-01EB Transponder Reader T L G-LON Lot of 2 Used Working
      Therma-Wave 18-007283 Power Supply Assembly Rev. E Opti-Probe Used Working
      Pfeiffer Balzers TPU 240 Vacuum Turbomolecular Pump Assembly DUO 1.5A As-Is
      Therma-Wave 18-007283 Power Supply Assembly Rev. G Opti-Probe 2600B Used
      Parker Compumotor 61-006084-02 C PCB Therma-Wave Opti-Probe 2600B Used Working
      Nikon 2S013-179-4S013-309-1 MCR-I/F PCB Board OPTISTATION 7 Used Working
      Nikon 4S013-314 Connector Board MOTOR-I/F KAB11005 Optistation 7 Used Working
      Nikon 4S013-317 Interface Board POWER-I/F PCB OPTISTATION 7 Used Working
      AMAT Applied Materials 0040-79668 Wafer Carrier Used Working
      SMS 0006389 Processor Controller PCB Board 0005349 Rev. A Used Working
      GE Fanuc 19D902459G1 GE Mastr IIe Power Board Rev.E working
      Texas Instruments 500-5049 I/O Digital Output Card Module 24VDC Used Working
      Novellus Systems 17-112194-00 Stage Shield Assembly Refurbished
      M and W Systems RPCE52A-SSMT Heat Exchanger Plumbing Retrofit Kit 70900-302 new
      AMAT Applied Materials 0100-90560 Vacuum Control Interlock PCB Rev. C XR80 Used
      TEL Tokyo Electron D123330 Wafer Holder Shield Housing Rev.2 new
      Cutler-Hammer GD22k Circuit Breaker Reseller Lot of 5 Used Working
      Metron D120491 IBG 150mm Wafer Holder Shield Rev. C New
      Tegal 99-187-002 ATO-2 Interface Board PCB Rev. B Used Working
      Semitool 16750-505 32 Bit Input MAG WIP 3 Ports Board New
      AMAT Applied Materials 0200-40112 E-C 200mm Quartz Cover new
      Heateflex Corp GH015-02FP-D09 Power-to-Flow Controller Used Working
      Mactronix H2CO 125mm Wafer Flat Finder Lot of 2 Used Working
      AMAT Applied Materials 0090-91594 Power Supply Module Board PCB XR80 Used
      MRC Materials Research 41870-0101 162mm Wafer Holder Eclipse Star New
      TEL Tokyo Electron D122081 Sputter Shield Rev. C MRC Metron New Surplus
      Fusion Semiconductor 249181 Dual Cassette Handler PCB Card Rev. E Used Working
      Fusion Systems 61961 Control Board PCB Card Used Working
      Migatron Corp. RPS-300-36-500MT UltraSonic Proximity Sensor 73018-44 New
      Novellus Systems 04-722686-02 Stage Shield Refurbished
      Novellus 17-112183-00 Sprayed Clampless Deposition Shield Assembly Refurbished
      AMAT Applied Materials 0200-40091 Quartz Chamber Slit Valve Insert New
      TEL Tokyo Electron Moly CR-125mm Clamp Ring D129479 Rev.A new
      TEL Tokyo Electron D124821-100S Metron SS 100mm Sput Wafer Holder Shield New
      TEL Tokyo Electron 100mm Sput Wafer Holder Shield D124821-100SP Rev.G new
      Fuji Seiki BV-4AX0-HF Exhaust Throttle Valve Hitachi M-712E Used Working
      TEL Tokyo Electron WVG-S2-Y-IBY Water Vapor Generator Cable Set working
      Semitool 16753-517-0146 Interface Board PCB Card 2601800 16753A New Surplus
      Canon BG4-8615 Damper CD Board working
      Novellus Systems G17-08946-02 Clampless Deposition Shield Assembly Refurbished
      TEL Tokyo Electron A21110-212028-12 Inner Tube Supporter new
      Hepa HEPA-PLEAT II HB30EIIUF 21/2S Filter 30" x 12" x 10" new
      Semitool Pacific Scientific CW00029 Brushless Motor Kit 61110-07 new
      TEL Tokyo Electron D116700 Etch Shield Rev. B New Surplus
      TEL Tokyo Electron 200mm Etching Shield D116700 Rec.C New
      Celerity UFC-8565 Mass Flow Controller AMAT 3030-11443 Refurbished
      Fusion Semiconductor 238401 Process Chamber Control Card PWB Assembly Used
      TEL Tokyo Electron Tray Loader Assembly P-8 Wafer Prober Used Working
      TEL Tokyo Electron 3281-000043-19 Display Driver Board P-8 Prober Used Working
      Nikuni 25CLX15U5 MLTC Centrifugal Pump with 25CLX15U6 Motor Used Working
      AMAT Applied Materials 0040-61580 Lower Preclean Shield 300mm New
      Integrated Circuit Development 306913 Controller Model 6500 SCP Wet Sink Used
      DNS Dainippon Screen PC-97010 Interface PCB PMCDIV Lot of 5 Used
      Leian Series RLPM AC Power Supply Lightning Arrester 220V 10A IP55 New 
      ASM PWBA 2602792-21 Revision A6 Motor Drive-Dual Lot of 2 Used Working
      Panasonic ADKB100BPFADA Servo Driver Vertron DD803V Used Working
      Panasonic ADKA400BPFADA Servo Drive Verton DD803V Used Working
      Panasonic Servo Drive ADKB100BPFADH Vertron DD803V Used Working
      GPI General Precision 0303-063 Remote Panel RCD 3-4-1 SVG 90 I-Line Used Working
      SVG Silicon Valley Group 99-80266-01 Station CPU PCB Card Rev. F 90S DUV Used
      KLA-Tencor 001003T Fast Z Controller PCB Rev. 06 CRS1010 Used Working
      Panasonic ADKB400BPFADA AC Servo Drive Used Working
      TEL Tokyo Electron WZ10-102837-11 XA Shield Used Working
      Cyber Research STC 12-T Remote Computer SVG 90S Used Working
      Thermalogic 06-49879-01B PCB Control Board RA2011-11 SVG 90S DUV Used Working
      RadiSys 60-0262-01 Control Board PCB Eclipse Star Used Working
      KLA-Tencor 001003 Fast Z Controller PCB Rev. 5 CRS1010 Used Working 
      M.E.C. Tech MEC81104-200L Cathode Covers AMAT 0030-0102 Reseller Lot of 101 New
      Hitachi E-247 Spray Nozzle Assembly Lot of 4 New
      SMC CGD1BN20-30-H7A1 Air Cylinder Hitachi S2-84410 Lot of 5 New
      TEL Tokyo Electron WZ10-102853-11 Barrel Shield New
      Varian 994752-000 Processor PCB Board TM 990/310 Used Working
      KLA-Tencor 781-21332-000 Upper Housing Assembly Used
      Hitachi 1-807885 PCB IL36-1 New
      Orion Machinery ETS214-ATAA-A Chiller PEL THERMO Used Working
      TEL Tokyo Electron A124566 Cathode Adapter Assembly New
      Pacific Scientific R24HENA-HA-EB-NV-00 Brushless Servomotor Used Working
      Eurotherm ACMN00504/16BR-G AC Servo Motor Gear Head S102VN0440MQ10-EL-5 Used
      Nikon 4S586-951-1 Stepper Switch Box WX_SW Used Working
      Nikon 9700-5808-01 Load Port Latch Key OPTISTATION New
      Nikon 4S586-987-1 Stepper Switch Box WY_RSW Used Working
      TEL Tokyo Electron D116049 Filler Ring MRC Eclipse Star New
      AMAT Applied Materials 0021-18485 Cover Ring 300mm Centura Edwards Refurbished
      Oerlikon 102211796 300mm Base Ring New
      Fujikin 467000 Type NC Diaphragm Valve 0.39~0.59MPa 2 Port C-Seal Lot of 12 Used
      Soshin NF3200C-RQ2 EMI Noise Filter TEL Tokyo Electron T-3044SS Used Working
      Nikon 4S065-418 Power Supply Interface Module & Cables Nikon NSR Series Used
      Nikon 4S065-417 Power Supply Nikon NSR-Series No Cables Used Working
      Nikon 4S007-792-A-554 Relay Control Board PCB SR8-PRE2 Used Working
      Edwards 4 Port Exhaust Purge Regulator No Exhaust Line Out/In Cables Used
      PASCAL 2021i Pfeiffer 221AHALZS Vacuum Pump Adixen Used Tested Working
      Asyst 9700-6584-01 Advan Tag RFID Reader Set ATR-9000 Rev. E 9700-6224-01 Used
      Red Rock Technologies SPL2038-1 Single Slot SCSI Hard Drive Module PCB Card Used
      Dynax K3AX-095 Robot Teach Pendant Hand Held Controller Used Working
      Novellus Systems 16-286986-00 Bellows LL 3130 Elevator AM350 New
      Regal Joint FS-3OS Flow Sensor FS-S 4-Port Manifold Hitachi M-511E Used Working
      Granville-Phillips 275116 275 Convectron Vacuum Gauge and Sensor Set 275203 Used
      MKS Instruments 127AA-00002E Baratron Pressure Transducer Used Tested Working
      AMAT Applied Materials 0200-00157-P1 Top Ring Flat 125mm New
      EuroTherm 661-01-00 Power Supply Used Working
      Allen Integrated Assemblies AP10204 Main Processor CRSD 1037 CPU Board PCB Used
      CKD N3E0660-M Solenoid Pneumatic Manifold N4EO Reseller Lot of 9 Used Working
      Carl Zeiss 452821-2010 CZJ QS Microscope Power Module Used Working
      AMAT Applied Materials 0100-09126 Remote Wiring Distribution Board Panel Used
      Applied Micro Technology 1317-1-F-0962-0787 Analog Input PCB Card ST4303-16 Used
      Arcom Control Systems TLA 551 Thermistor Elecrode Sensor Board PCB RCI-F Used
      Toshiba MCC-847-03 Processor Board PCB DM24756002 PC06031-3 Used Working
      Worthington Data Solutions LZ200 Barcode Scanner with R11/12 Data Reader Used
      MKS Instruments 127A-13427 Baratron Pressure Transducer Used Working
      MKS Instruments 127AA-00100E Baratron Pressure Transducer Used Tested Working
      MKS Instruments 127AA-00001A Baratron Pressure Transducer CF70 Used Working
      Opal 50416852000 IP Video Switch EP 50416851000 PCB Card Used Working
      Asyst Technologies 8045R2-1-ES-ASYST Robot Teach Pendant ASM Epsilon 3000 Used
      MKS Instruments 127A-13431 Baratron Pressure Transducer Used Working
      MKS Instruments 127A-12874 Baratron Capacitance Manometer Used Tested Working
      MKS Instruments 127AA-00001E Baratron Pressure Transducer Used Tested Working
      MKS Instruments 122BA-00100EB Baratron 100 Torr Type 122B Used Tested Working
      MKS Instruments 127AA-00010A Baratron Capacitance Manometer Used Tested Working
      MKS Instruments 122BA-01000EB-S Baratron 1000 Torr Type 122B Used Tested Working
      MKS Instruments 124A-11465----S Baratron Type 124 Used Tested Working
      Siemens ED43B020 Circuit Breaker Lot of 4 20amp 480VAC working
      SVG Silicon Valley Group 80241B-1-01 VB/VP Sensor Board 90S Lot of 3 Used
      Inficon 911-1032-G2 TSP2 Preamp Board PCB 911-1032 Used Working
      Yaskawa Electric SGDR-AXC01B Robot Controller PCB Card F352076-1 NXC100 Used 
      TPS 200 Pfeiffer Vacuum PM 041 819-T Turbomolecular Pump Controller Used Working
      ASM 03-186004D02 Options I/F 03-329735D02 PCB Board Epsilon 3000 Used Working
      MKS Instruments 122BA-00010EB Baratron Pressure Transducer Used Tested Working
      Lasertec 1LM21 Laser Microscope Amp Unit Zygo ARMI Used Working
      MKS Instruments 122AA-00010BB Baratron Pressure Transducer Used Tested Working
      Meiden RZ24Z-02 Processor PCB Card MU24A30823 SU22A31270B Used Working
      Agilent Z4201-20002 PCB Z4401 PC RPIU Used Working
      Semifusion 240 PEN Motor Drive Assembly Ultratech Stepper UltraStep 1000 Used
      Telemecanique XPSAT5110 Safety Relay Preventa XPS-AT Used Working
      Tylan General CDLD-21S06 Baratron Capacitance Gauge Used Tested Working
      MKS Instruments 127A-13608 Baratron Pressure Transducer Used Tested Working
      SAGInoMIYA PNE-2D05-011 Digital Flow Controller Used Working
      Toyo Sokki DLS-5028 Digital Load Cell Reader-Meter DC24V Used Working
      LM Air Technology LP24U-SP Motorized Fan Filter Module New Surplus
      Lam Research 839-011516-001-B Weldment Gas Feed 713-011517-001 Set of 2 Used
      Accent Optical Technologies PMA51100 Photo Detector Stand Used Working
      Lam Research 853-017427-001-C-C136 Control Module 4420 Etcher Used Working
      Bio-Rad Y7603203 Elevator Module KLH A-10 200mm Quaestor Q7 Used
      JEOL JUS-MSI Microscope Camera Distribution Server Set AXIS 2400+ JEM-2010F Used
      ASM 03-185614-02 Gate Valve WHS Sensor I/F Board PCB Rev. D Epsilon 3000 Used
      TDK RDH24-6RO Power Supply Lot of 2 Nikon NSR-S205C No Screws Used Working
      Asyst 9700-6584-01 Advan Tag RFID Reader Set ATR-9000 Rev. G 9700-6224-01 Used
      Balzers BG 542 272 T Indicator Display IU 201 PCB Card BG 542 263 T Used
      Balzers BG 542 272 T Indicator Display IU 201 PCB Card BG 542 263 T Used Working
      Matrix Integrated Systems 1000-0065 Z80 Bus Interface PCB Board System 10 Used
      AG Associates 7100-0813-01 Door Reversal PCB Relay Assembly 4100s Used Working
      Balzers BG M66 500 Dual RATE-TIME-ADDER Module RTA 101 RTA101 Used Working
      Nikon 2S701-012 Microscope Optical Sensor Assembly OPTISTATION 3 Used Working
      G Zimmermann AG 9-9530.4F PCB Board Balzers BG 290 317 S Used Working
      Balzers BG 531 189 T Integrate Circuit AD 202 PCB Board BG 531 187 CT Used
      Hitachi BBDP1-01 Circuit Board PCB Used Working
      Hitachi I0TU-01N Input PCB Card I0CN-01A Used Working
      Robitech 990-9131 Valve Module PCB 681-0181-009 Lot of 5 Used Working
      MKS Instruments 127AA-00002B Baratron Pressure Transducer Used Tested Working
      Nikon 4S003-028 Relay Resistor Board PCB DCMD-L2 NSR-S306C Used Working
      AMAT Applied Materials 0021-41596 Cryo Port Bias Match Bracket New Surplus
      Schumacher 1443-0100 Temperature Controller 100 Used Working
      Omron S82J-6124 DC Power Supply 24VDC 0.5A Reseller Lot of 22 Used Working
      ASML 4022.470.0891 CCM EL Connection Box Nikon Reticle Loader Lot of 2 Used
      Therma-Wave 14-017482 Opto-Isolation Board Opti-Probe 2600B Used Working
      SVG Silicon Valley Group 99-80308-01 DC Distribution MCE Mount PCB 90S Used
      Matrix Corporation DSC-5K-SVGL PCB Used Working
      FSI International 233-5002-00 PC Interface Board PCB Used Working
      Ultratech Stepper 03-15-02066 6-Axis Laser Transition YT-Axis PCB Card 4700 Used
      MKS Instruments 628A-13476 Baratron Pressure Transducer Type 628 Used Working
      MKS Instruments 122AA-00100BB Baratron Pressure Transducer 100 Torr Used Working
      Asyst 9700-6584-01 Advan Tag RFID Reader ATR-9000 with 9700-6224-02 Sensor Used
      Asyst 9700-6584-01 Advan Tag RFID Reader PB 90M with 9700-6224-02 Sensor Used
      Astec LPS25 Switching Power Supply XP Power KM25US24 Reseller Lot of 17 Used
      Balzers BG M76 250 Transport Control Unit TSC 101 TSC101 Used Working
      Balzers BG 542 341 T Address Control BL 201 PCB Card BG 542 344 T Used Working
      Balzers BG 290 561 U Transformer PCB Card BG 290 564 U Used Working
      Balzers BG 542 225 BT Shutter Control Button PCB Board BG 542 228D Used Working
      SBS 0390-1239B IP Carrier & Modules cPCI-100-BP AMAT 0190-07848 Used Working
      Novellus Systems 02-10433-00 Local Power Box, DLCM Concept 2 Altus As-Is
      Balzers BG 290 561 U Transformer PCB Card BG 290 565 U Used Working
      AMAT Applied Materials 0010-77795 High Precision Spray Bar Assembly New
      Pall DDF1UG001ENP44 Disposable Filter Assembly E00013393 Reseller Lot of 6 New
      Air Products AP10530 9.4” Monochrome LCD Assembly SHARP LM64P83L Used Working
      Alum-A-Lift E20-9313A-01-000A Gate Valve End Effector Kit E40001233 Used Working
      MagneTek 1005096710 Digital Phase Lock Control PCB Rev. NC Used Working
      MKS Instruments 122B-11993 Baratron Pressure Transducer Used Tested Working
      Edwards D37370761 iGX Accessory Module Copper Exposed Used Working
      MKS Instruments 122BA-00010BB Baratron Transducer Type 122B Used Tested Working
      STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Reseller Lot of 5 Used
      Philips Programmable High Resolution Counter Timer c Used Working
      MagneTek 1005096710A Digital Phase Lock Control PCB Rev. N/C Used Working
      National Instruments 180665-03 GPIB-SCSI Drive Lot of 9 Used Working
      Asyst Technologies 8045R2-1 Robot Teach Pendant Used Working
      MagneTek Fast UV Inhibitor PCB 1005127310 Rev. P1L Used Working
      MagneTek 1004778800 Fast UV Detector PCB Rev. C Used Working
      TDK DC Power Supply RGW48-32R Used Working
      Agilent PC RPIU PCB Board Z4401A Used Working
      PRI Automation BM05750 Rev B Encoder Interface Board Used Working
      PRI Automation BM05753 Rev B Encoder Interface Board Used Working
      Sony UP-5500 Mavigraph Color Video Printer for KLA Used Working
      Torque Systems BMR2005TCG00CEA002 PM Servo Motor Gerwah DKN 20 Used Working
      AMAT Applied Materials 3700-01682 Duro White O-Ring Reseller Lot of 5 New
      QUANTRONIX 0203-03064 Q-Switch Control Unit Used Working
       Seiko Seiki P005Y008Z871-3D1 Capacitor Board PCB SCU-H1000C Used Working
      Ultratech Stepper 03-15-02066 6-Axis Laser Transition X-Axis PCB Card 4700 Used
      Ultratech Stepper 03-15-02066 6-Axis Laser Transition XT-Axis PCB Card 4700 Used
      PASCAL 2021i Pfeiffer 221AHALZD Vacuum Pump Adixen 7 mTorr Used Tested Working
      Omron R88D-UA04HA AC Servo Driver Used Working
      Nikon 4S007-663 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-A NSR-S202A Used
      MKS Instruments 122AA-00010AB Baratron Pressure Transducer Type 122A New
      SCI Solid Controls 428-957 Transformer Board PCB Card 0428-9560 Used Working
      Seiko Seiki P005Y008Z881-3D2 Capacitor Board PCB SCU-H1000C Used Working
      Ultratech Stepper 03-15-02066 6-Axis Laser Transition XP-Axis PCB Card 4700 Used
      Applied Micro Technology ST4303-32-1 Analog PCB Card Lam 810-01317-001 Used
      Danfoss 195N0050 Vertical Inverter VLT2815 VLT2815PD2B20SBR0DBF00A00 Used
      AMAT Applied Materials S3M21152 Poly RG FOCUS RING Used Working
      Nikon 4S007-664 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-D NSR-S202A Used
      MKS Instruments 122A-11018 Baratron Pressure Transducer Type 122A Used Working
      Yaskawa Electric SGDR-AXC01B-E Robot Controller PCB Card NXC100 Used Working
      Ultratech Stepper 03-15-02066 6-Axis Laser Transition Y-Axis PCB Card 4700 Used
      Seiko Seiki P005Y008Z865-3A2 Multiplier Board PCB H600 SCU-H1000C Used Working
      Omron R88D-UA08HA AC Servo Driver Used Working
      AMAT Applied Materials 0200-35336 DPS Metal FOCUS RING CERAMIC Used
      AMAT Applied Materials 0021-04242 DK Space Cover Shield Used Working
      MKS Instruments 122AAX-00010EBT Baratron Pressure Transducer 122A Used Working
      Fujikin FUNSDL-21GT-6.35UGC#A Manual Valve Reseller Lot of 9 New Surplus
      SCI Solid Controls 428-957 Transformer Board PCB Card VSE 0428-9570 Used Working
      Ultratech Stepper 03-15-02088 Transition Combo Board PCB Card 4700 Used
      Edwards A362-15-903 8 Two Stage Rotary Vane Vacuum Pump E2M8 Not Working As-Is
      SBS Technologies 91415171 IP-Octal Plus-232-S00003 PCB AMAT 0190-12159 New
      TBK RH700C Robot Hand Controller Lot of 3 Sigmameltec RTS-500 Used Working
      Technical & Try FD-2002 Photoelectric Sensor Reseller Lot of 25 Used Working
      Asyst Technologies 9700-6584-01 Advan Tag RFID PB 90M with Sensor Used Working
      Nikon 4S065-418 Power Supply Interface Module Nikon NSR-Series Used Working
      SVG Silicon Valley Group 99-803-336-01 Nikon Interface Board PCB Used Working
      Edwards NGW414000 Pneumatic Gate Valve Assembly Copper Damaged Connector As-Is
      Asyst Technologies 9700-6584-01 Advan Tag RFID ATR-9000 Rev. E with Sensor Used
      Advantest TO406B 10.5" Display Control Advantest M454AD Used Working
      Asyst Technologies 9700-6584-01 Advan Tag RFID ATR 9000 Rev. G DD-1203V Used
      Sanyo Denki PZ0B030AFS1P00 BL Super PZ Servo Amplifier Sigmameltec RTS-500 Used 
      Fujitsu Denso KS14-7795-H921 CONT MP-M Y PCB Board KS350-3104-H470 Used Working
      Lambda LCS-A-120 Power Supply RWS15A-LUS-8A-5 Reseller Lot of 22 Used Working
      Edwards D37370761 iGX Accessory Module Used Working
      CMC Cleveland Motion Controls BMR2005TCG00CEA002 PM Servo Motor Used Working
      Soshin Electric NF2080A-RQ EMI Filter Reseller Lot of 14 Used Working
      Sanyo Denki PMM-BD-5702-6 Servo Drive A7-1-20595-1C TEL 2980-191209-11 Used
      Edwards Y14204000 TMS Temperature Management System Used Working
      Nikon 4S007-945 STGX3A Interface Board PCB Used Working
      STEC HC-100A Read Out Module HC-100 Reseller Lot of 4 Used Working
      MKS Instruments 122AA-00100AB Baratron Pressure Transducer Used Tested Working
      Agilent 10780F Remote Receiver Interferometer HP Reseller Lot of 5 Used Working
      Communication Specialties TwinSplit 1035 VGA Splitter Lot of 9 Used Working
      Agilent E1709A Remote High Performance Receiver Reseller Lot of 6 Used Working
      ECI Technology Control PCB TQ501564-01 Used Working
      AMAT Applied Materials 0240-30959 300mm Chamber Controller Cover Kit New
      AMAT Applied Materials 0021-39935 IPS Upper TV Port Liner Refurbished
      Power Architects PA-1085 Power Supply 2-D72-D4A4A Reseller Lot of 3 Used Working
      Asyst Technologies 3200-1145-01 Modulated Photoelectric Amplifier Board PCB Used
      Delta Design 1937019-503 2400W PFC Boost Supply Board PCB Rev. G-R Used Working
      ASM 03-185614-02 Gate Valve WHS Sensor I/F Board PCB Rev. A Used Working
      RECIF Technologies CPUCH0027 Fan Filter Unit Moterboard PCB MOBBH0191 Used
      Reid Ashman Manufacturing RA21688 Distribution Board PCB Used Working
      Square D 30608-508-50 Remote Transfer Interface SY/MAX CRM232 Class 8030 Used
      Delta Design 1937019-501 2400W PFC Boost Supply Board PCB Rev. B Used Working
      Square D SY/MAX S30617-593-50 Relay Output Module Type ROM-271 Class 8030 Used
      Motorola 0708601 SBC Single Board Computer PCB 01-W3527F Rev. F/C4 Used Working
      AMAT Applied Materials 0100-09071 SBC I/O Breakout Board PCB Rev. C P5000 Used
      Reid Ashman Manufacturing RA16220 Granite I/O Processor Board PCB Used Working
      ASM Advanced Semiconductor Materials 03-186004D01 Option I/F Board PCB Used
      KLA 710-805351-00 Interface Board PCB BPB IIb 073-805351-00 TEL P-8 Prober Used
      Horiba STEC PV-1502MC Piezo Valve Lot of 3 Used Working
      Varian Semiconductor Equipment 102596001 REGEN Logic PCB 102596100 Used Working
      Siemens 3RV1021-0JA10 Curcuit Breaker Rack Assembly 3RT1016-1BB42 Lot of 5 Used
      Opal 70412310310 Internal Power Distribution Unit PDU Box AMAT VeraSEM Used
      Cosel AD960-24 Power Supply Komatsu PCB BAMA01132 TEL Lithius Used Working
      Nidek S1145-PC2282-A IM-14 Wafer Autoloader Motor Assembly IM-11 AP/11 Used
      Ultratech Stepper 03-15-02066-02 6 Axis Laser Transition Used Working
      Nikon NVDI-48A Control Module NSR-S204B Step-and-Repeat Scanning Used Working
      Global Manufacturing 347892 Amplifier Used Working
      NOW Technologies Nowpack Level Monitor Control Box Lot of 3 Used Working
      Jobin Yvon H-10 VIS Monochromator DA-40 TEL Tokyo Electron Unity 2 Used Working
      Iicon Series C ID-1561 15-inch Industrial Monitor 05-1620-00 Rev. C working
      Mitsubishi FR-E520-1.5K-14 Inverter Drive FREQROL-E500 Used Working
      Aviza Technology 600053-01 Analog Output PCB Card Used Working
      SVG Silicon Valley Group 600967-01 Cassette Status Panel PCB Thermco Used
      Semy Engineering 501.01.08 DCU Nucom PCB Card Macintosh Used Working
      ASM Advanced Semiconductor Materials 03-21127 PCB Card 02-15467-01 Used Working
      ASM Advanced Semiconductor Materials 02-33082 HiPEC Power Supply Assembly Used
      Tylan General CMLA-11 Baratron Gauge Lam 853-017643-003 Used Tested Working
      Tylan General CMLB-11S06 Baratron Capacitance Gauge CML Used Tested Working
      Tylan General CMLA-21 Baratron Lam 853-017643-003-HY-LEAN Used Tested Working
      Delta Design 1666339 Dual DC Motor Control Board PCB Rev. AJ 1698422-501 Used
      Motorola 0708601 SBC Single Board Computer PCB 01-W3527F Rev. F/C1 Used Working
      Tylan General CMLB-11S06 Baratron Gauge Millipore CMLB1106 Used Tested Working
      Tylan General CMLA-21 Baratron Lam 853-017643-003-F-C139 Used Tested Working
      Tylan General CMLA-21 Baratron Gauge Lam 853-017643-003-D Used Tested Working
      ASM Advanced Semiconductor Materials 03-20930 PCB Card 02-15839 Used Working
      AMAT Applied Materials 0100-11002 Digital I/O PCB Card Precision 5000 P5000 Used
      Delta Design 1937019-503 2400W PFC Boost Supply Board PCB Rev. F-R Used Working
      Motorola 01-W3269F SBC Single Board Computer PCB Rev. 01F 84-W8269F01C Used
      Millipore CMLA-21 Baratron Gauge Lam 853-017643-003-H-LEAN Used Tested Working
      Comtrol Europe 59750-1 Hostess 2000 BD-SMART8 Smart 8-100 PCB Card Used Working
      ASM Advanced Semiconductor Materials 03-21022 PCB Card 02-15912 Used Working
      TEL Tokyo Electron 3281-000010-1 PCB Bridge Interconnect 1 with Cables P-8 Used
      Delta Design 1666339 Dual DC Motor Control Board PCB Rev. P 1675881-501 Used
      Motorola 01-W3269F SBC Single Board Computer PCB Rev. 21C 84-W8269F01E Used
      ABB ACH550-UH-03A3-4 Adjustable Frequency AC Drive HVAC ACH-CP-B New Surplus
      Millipore CMLB-11S06E Baratron Capacitance Gauge CMLB1106E Used Tested Working
      Opal 70312970000 Analog Control Board PCB AMAT Applied Materials VeraSEM Used
      Particle Measuring Systems FiberVac 2 Cables Cut Untested As-Is
      Digi 50000988-02 32-Port Terminal Server EtherLite 32 SemVision cX used working
      Particle Measuring System 310 MiniNet Minienviornment Used Working
      Axcelis 10661 Power Supply 558971 Fusion PS3 Used Working
      Siemens 6SE6420-2UD17-5AA1 Micromaster 420 6SE6400-2FB00-6AD0 Used Working
      Patlite WM-FB WME-AFB WM-FBEN LOGB-24 LE-FBP Signal Towers Lot of 9 Used Working
      Festo 0010-05311 Megasonic Box 300mm Assembly 0190-77532 Reflexion Used
      Edwards D37310000 Network Interface Flash Module Used Working
      Luxtron 320x/50x Interface PCB Used Working
      Axcelis Technologies 624811 Power Supply Gemini Used Working
      Panasonic MSMA042A113 AC Servo Motor VRSF-15C-400 Used Working
      AMAT Applied Materials 9090-01166 Battery Pack PX32K Quantum X Used Working
      AMAT Applied Materials 9090-00641 Battery Pack Quantum X Process Module Used
      AMAT Applied Materials 0100-90880 Turbo Pump Interface Board PCB Card XR80 Used
      Keyence Photoelectric Sensor Set FS-V1, PS-T2, PS-49C Used Working
      Sharp Microvision LCD Panel LM64C35P 7080 Used Working
      XP Power 10006055 DC Power Supply F7B6J6J6G2 New Surplus
      Nemic-Lambda EWS1500-3.3 DC Power Supply Used Working
      Genwac GW-902H Video Camera Computar 4.5-10mm Lens Used Working
      Genwac GW-902H CCD Video Camera with Computar 4.5-10mm Lens Used Working
      MKS Instruments 122BA-00100EB-S Baratron Pressure Transducer Used Tested Working
      Delta Design 1666339 Dual DC Motor Control Board PCB Rev. T Used Working
      Delta Design 1666339 Dual DC Motor Control Board PCB Rev. R Used Working
      Pentagon Technologies A12-00838 Holder Shield Plate Anelva PVD System New
      TeleFrank 013501-167-27 Load Port Modul ST32 Used Working
      Granville-Phillips 370501-A10-T1 Remote Power Supply Module Used Working
      TeleFrank 013501-177-27 Load Port Modul E84/I Brooks FIXLOAD V6 Used Working
      Aerotech Motion Controls MX10-B MX Multiplier Board 690C1510 Used Working
      TeleFrank 013501-171-27 Load Port Modul Interlock Brooks FIXLOAD V6 Used Working
      GE Commercial Motors 5KH32GN5588X Motor 4805 with Procon Pump Head SVG 90S Used
      TeleFrank 013501-186-27 Load Port Interface Connector Brooks FIXLOAD V6 Used
      MKS Instruments 122AA-00010AB Baratron Pressure Transducer Used Tested Working
      MKS Instruments 141A-13670----S Baratron Vacuum Switch Used Tested Working
      AMAT Applied Materials 0226-30332 Modified N2-DRYVAC Distribution Board PCB Used
      MKS Instruments 141AA-00001BB-S Baratron Vacuum Switch Used Tested Working
      MKS Instruments 122AA-00010-B-SP053-80 Baratron Transducer Used Tested Working
      Melec C-823 Interface Processor VME Card PCB KP1229-2 Used Working
      MKS Instruments 122B-11993----S Baratron Pressure Transducer Used Tested Working
      Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used
      MKS Instruments 141AA-00010BB-S Baratron Vacuum Switch Used Tested Working
      Nikon Laser Lens Alignment Block Optics Assembly OPTISTATION 7 Used Working
      Edwards NGW73000 Pneumatic Gate Valve Assembly Untested As-Is
      ASML 4022.471.7488 Capacitor Interconnect PCB Card Used Working
      Asyst 9700-6584-05 Advan Tag RFID Reader ATR-9000 w/ Sensor & Power Cable Used
      Hubbell 5125R6W Pin & Sleeve Receptacle 125A Axcelis Fusion ES3 Used Working
      Edwards NGW73000 Pneumatic Gate Valve Assembly Untested As-Is
      DTI Design Technology 27280-001 Sync PCB Therma-Wave 14-012176 Opti-Probe 2600B 
      Jenoptik 013501-130-17i4 Infab Control Board PCB Used Working
      TEL Tokyo Electron HA-015 Circuit Board RY CHEM #02 Used Working
      Omron G9SC-140-TE2 Circuit Board PCB RY Spin MTR #02 Used Working
      Aerotech Motion Controls MX25-B MX Multiplier Board 690C1510 Used Working
      AMAT Applied Materials 0140-14384 25' KVM Harness Assembly Lot of 3 New
      Kniel CP 25.15/MKN 25V Power Supply PCB Card 4022.436.82691 ASML Used Working
      AMAT Applied Materials 0100-91082 Sensor Board PCB Card Used Working
      Shimaden 01-199-011-JJ230C9 Pressure Gauge 9334 Lot of 3 Used Working
      Kniel CP 5.20/VME 5V Power Supply ASML 4022.436.55291 Used Working
      ASML 4022.476.01511 Power Supply Board PCB Card Used Working
      AMAT Applied Materials 0090-91586 X-Y Displacement & Splitter Box XR80 Used
      Anelva PSC-211 DC Controller Used Working
      MKS Instruments 124AA-00100BB Baratron Pressure Transducer Type 124 Used Working
      Millipore C4NM6905 Wafergard F Inline Gas Filters Lot of 3 New
      Thermo Fisher 130048-00 Y-Cable Set of 2 for Kevex Omicron XRF New Surplus
      Nikon 4S008-049-D Interface Board PCB alg-Z8277 A-552 Used Working
      AMAT Applied Materials 0100-00569 VME DC Power Distribution PCB Used Working
      Sanyo Denki R2AA08075FBP77M AC Servo Motor with Gearhead Hirata HQPLP-2DHP Used
      MKS Instruments 127AA-00010E Baratron Pressure Transducer Used Tested Working
      MeiVac 2460 Chamber Lid Control Module Vacuum Sputter System Used Working
      MKS Instruments 122B-00100EB-S Baratron AMAT 1350-01027 Used Tested Working
      MKS Instruments 122AA-00100BB Baratron Pressure Transducer Used Tested Working
      Iwaki SB-5SV-L-05 Bellows Pump No Connectors Used Working
      Fuji Seiki QF100 High Vacuum Chain Clamp NW100 ISO-LF Reseller Lot of 5 Used
      MKS Instruments 127AA-00001B Baratron Pressure Transducer Used Tested Working
      Watec WAT-902H3 Color CCD Camera Supreme with Compumotor 3.5-10.5mm Lens Used
      MKS Instruments 122A-11063 Baratron Pressure Transducer Used Tested Working
      Nikon AFX-II Camera Exposure Control and Shutter Assembly working
      Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch Lot of 5 Used Working
      Parker Adapter FECR-2016 Lot of 7 New
      Semitool Emoteq Hathaway E3-1000-250-I Encoder Leadscrew Assembly LT01787 lot 3
      DNS Dainippon Screen HLS-MC2 Relay Board PCB PC-97013B Used Working
      DNS Dainippon Screen HLS-MC1A Network Control Board PCB PC-97040A Used Working
      MKS Instruments 122AA-00010-B-SP023-87 Baratron Transducer Used Tested Working
      MKS Instruments GBR1B24CR1 Baratron Assembly Epsilon 3000 Used Working
      WEG Motors and Drivers GG24765 Electric Motor 220-480VAC New Surplus
      AG Associates 7100-5425-03 Six Gas Interlock PCB 7310-4323-01 Used Working
      Hitachi 566-5534 High Voltage Capacitor PCB Board PE.HV S-9300 SEM Used Working
      Devicenet 10150603 13’2” Cables Lot of 9 used working
      Hitachi 560-5531 CCD Camera Motor Assembly Hitachi S-9300 CD SEM Used Working
      Hitachi IOTU-01N I/O PCB IOCN-01A Hitachi M-712E Used Working
      AMAT Applied Materials 0010-01087 Anneal Distribution PCB Panel Used Working
      MKS Instruments 221BA-00010B Signal Conditioner Set Type 221 Refurbished
      Pall VCSC100-10M3T 10-inch Filter T93041310016 Reseller Lot of 10 T46141-43 New
      Pneutronics 691-0098 Interface Board PCB Card Rev. B New
      Prometrix 36-0202 H2 Theta Interface Board PCB Used Working
      TEL Tokyo Electron D129677 1.5mm Moly CR-125mm Clamp Ring Rev. 2 New
      MKS Instruments 127AA-00001A-SP003-91 Baratron Transducer Used Tested Working
      ASML 4022.472.2763 Lockout Box Assembly Used Working
      NF Electronic Instruments Actuator Controller Panasonic LSC BP22S-MJ Used
      Yamasho YM-S122D PCB Assy. YM-S330F Lasertec MD2500 Used Working
      Yamatake EST0240Z05BBX00 Smart Terminal Untested As-Is
      MDC Stainless Steel Vacuum Flex Bellows Pipe Tubing 5” & 9” Lot of 9 MKS Used
      KLA-Tencor 000483 SDP Frame Grabber PCB CRS1010 Used Working
      Tosoh 805-375-SG-F-280 Upper Shield New
      KLA-Tencor 000056 Lens Filter Assembly CRS1010 Used Working
      Panasonic ADKA400BPFADI Servo Drive Used Working
      SVG Silicon Valley Group BES-4235 Pneumatic PCB 90S Used Working
      Varian 4179100 Kestrel Mini-Convectron Gauge New Surplus
      Varian E17293680 Charge Exchange Shield New Surplus
      SVG Silicon Valley Group 201-200 Twin Shaft and Carriage 90S Used Working
      SMC VQ1301NY-5 Pneumatic Solenoid Valve Manifold Lot of 5 Used Working
      Yaskawa SGM-A8A3TA11 AC Servo Motor TEL CT2980-193372-11 ACT12 Used
      Fujikin 091404 Type NC Diaphragm Valve 0.39~0.59MPa 3 Port C-Seal Lot of 11 used
      Yaskawa DRI-08AC Servopak Servo Drive Used Working
      MKS Instruments 122AA-00010AB-SP009-81 Baratron Pressure Transducer 122A Used
      AMAT Applied Materials 0190-24484 Transponder Reader with Sensor 0190-10813 Used
      Setra 2241100PAAA33D9JAP Pressure Transducer 224 Lot of 7 Used Working
      AMAT Applied Materials 0240-50375 Transponder Reader with Sensor 0190-10813 Used
      Leica 567050 Microscope Objective PL Fluotar 10x/0.25 ∞/- KLA 2132 Used Working
      SMC PN4476 Communications PCB Card KLA 730-656503-00 2132 Used Working
      TEL Tokyo Electron PR300Z 300mm Wafer Spin Cassette BEOL Copper Used Working
      Tokyo Keiso UCUF-06B/ZT Pump Assembly UCUF-04B/ZT Lot of 13 TEL Lithius Used
      Leica 567049 Microscope Objective PL Fluotar 2.5x/0.07 ∞/- KLA 2132 Used Working
      AMAT Applied Materials 0190-24484 Transponder Set 0190-10813 Complete Used
      Leica 567051 Microscope Objective PL Fluotar 20x/0.45 ∞/- KLA 2132 Used Working
      KLA Instruments 941302 Communications Board PCB 23663-001 2132 Used Working
      TEL Tokyo Electron PR300Z 300mm Wafer Pincette End Effector Copper Used Working
      TEL Tokyo Electron SVC5 17-Port Manifold SMC SQ1131Y-5-C4-Q PR300Z Used Working
      DIP 15039603 Digital I/O CDN396 PCB Card AMAT 0660-00078 DIP-300-818 Used
      Hitachi ZVL808-H PCB Card Kensington BZ0977ZZ0019 CSMT-4 Used Working
      Jobin Yvon H-1061 UV-V Monochromator PTPA-01 PCB Hitachi M-712E Etcher Used
      Hitachi Maintenance PC Power Module M-712E Trench Etcher Used Working
      Nor-Cal 990322-2 Pneumatic Isolation Valve KLA-Tencor eS20XP E-Beam Used Working
      Computer Recognition Systems 8923F26 Tracker 3 Processor Card 8923-0000 Q8 Used
      Hitachi ZVL808-J PCB Card Kensington BZ0978ZZ0019 CSMT-4 Used Working
      MRC Materials Research 885-11-000 Analog Process PCB POS. 3 Rev. A Eclipse Used
      Bay Advanced Technologies eS20XP Pneumatic PCB Assembly Set of 2 KLA-Tencor Used
      KLA-Tencor 740-614358-000 Video DC Converter Unit eS20XP E-Beam Used Working
      Computer Recognition Systems 8922F33 Tracker 3 Video Card PCB 8922-0000 Q8 Used
      Air Products AP10530 9.4” Monochrome LCD Assembly SHARP LM64P83L Used Working
      Berkeley Process Control 100-000-721-01 Servo Motor 15-152134-02N Used Working
      Hitachi ZVL897-4 OFV-DTCT PCB Kensington BZ0986ZZ0003 CSMT-4 Used Working
      MRC Materials Research 885-11-000 Analog Process PCB POS. 2 Rev. A Eclipse Used
      Nikon 4S018-036 Backplane Board PCB 7BSTGMTB NSR System Used Working
      Nikon 4S017-885 Interface Connector I/O Board PCB LC-MTHR NSR System Used
      Edwards NRY0TN101US Eason Control Box Module Alarm Enclosure Rev. D Used Working
      Nikon 4S017-906 NSR System PCB Connector I/O Board MTH-7RL Used Working
      Hitachi HT94219B Control PCB DI02 Used Working
      Nikon 4S017-867 Interferometer ALG-IF PCB ALGLIUIF Used Working
      Nikon 4S018-094 NSR System PCB Connector I/O Board PPD-MTH-7B Used Working
      Edwards NRY0TN101 Pump Control Enclosure NRY0TN000 Used Working
      Edwards NRY0TN101US Eason Control Box Module Alarm Enclosure Rev. M Used Working
      Edwards NRY0DN000 Pump Control Enclosure Rev. D NRY0DN101 Eason Alarm Used
      Edwards NRY0DN101US Eason Control Box Module Alarm Enclosure Rev. G Used Working
      HP Hewlett-Packard 59307A VHF Switch HP-1B Module working
      Fenwal DMR-5FR Relay Meter Tokyo Electron DS051-000158-1 New
      Baldor M16B 91698580-10 Industrial Motor New
      Baldor M24A-91096651 3-Phase Industrial Motor M35A13-672 Used Working
      AMAT Applied Materials 0100-01366 Vibration Monitor Board PCB XR80 Used Working
      AMAT Applied Materials 0020-81224 Arc Chamber New
      LTD Ceramics 620T0024-01 Robot End Effector Paddle Lot of 5 Used
      Baldor M16B 91751373-03 Industrial Motor New
      MEN 04M062-01 PCB M-Module New
      Tol-O-Matic BC4#220511 Linear Actuator Lot of 4 New
      Millipore CLFG01PTF 0.2μm Filter AT-MEGA New
      Hitachi 3-833469-01 Lower ER Cover Set New
      Hitachi HT96611A ASN1 PCB Card Ver. G M-712E Shallow Trench Etcher Used Working
      Hitachi HT94219A Processor PCB Card PI01 Ver. I1 Used Working
      AMAT Applied Materials 0021-17972 300mm Internal Membrane Clamp New
      TEL Tokyo Electron 1208-001195-11 PCB Pre Align Amp 78 1281-001195-11 P-8 Used
      Allen Integrated Assemblies AP10204 Main Processor CPU Board PCB Used Working
      Oriental Motor A6376-044 5-Phase Driver 0.75A Vexta EB4008-2g Used Working
      Oriental Motor A5231-044 5-Phase Driver 1.4A Vexta EB4008-2V Used Working
      TEL Tokyo Electron HA-033 DC/DC COV #06 Board PCB PHA-033-0 Lithius Used Working
      Asyst Technologies 06763-805 48V Control Board PCB 06763-705 Used Working
      Fuji Electric YD317551CC01 Servo Driver Processor Board PCB Used Working
      TAZMO E0R05-1072F Processor Controller PCB Card Semix TR6132U 150mm SOG Used
      TEL Tokyo Electron 2310-290647-7 Robot Pincette Ceramic Arm Used Working
      H-Square WT28HLAS 200mm Manual Horizontal Wafer Transfer System Flat Used
      TEL Tokyo Electron OYDK-063 CONN ADH CHEM #02 PCB Board Lithius Used
      TAZMO E0R05-1656 Protocol Controller PCB Card Semix TR6132U 150mm SOG Used
      TAZMO E0R05-2661A Pulse Generator PCB Card Semix TR6132U 150mm SOG Used Working
      SMC CDQSB16-75DC Pneumatic Air Cylinder JB16-4-070 Lot of 8 Used Working
      H-Square WT28HLAS 200mm Manual Horizontal Wafer Transfer System Copper Cu Used
      Daitron 200mm Manual Horizontal Wafer Transfer System 8 Inch Used Working
      H-Square WT28HLAS 200mm Manual Horizontal Wafer Transfer System Used Working
      Motortronics CSD-410-N Varible Frequency Drive CSD ASM Epsilon 3000 Used Working
      Oriental Motor B1583-D51KELM AC Servo Motor 5GD20K-H1 TEL ID86-003602-16 Used
      TAZMO E0R05-2661 Pulse Generator PCB Card Semix TR6132U 150mm SOG Used Working
      Sony DXC-950 3CCD Camera with CMA-D2 Adaptor KLA-Tencor CRS-3000 Used Working
      Tescom 44-2662-R92-067 Manual Pressure Regulator Lot of 4 Used
      MRC Materials Research 884-54-000 Process Control Interface POS. E Eclipse Used
      MKS Instruments 225A-24596 Baratron Tokyo Electron Lithius Used Working
      MRC Materials Research 885-11-000 Analog Process PCB POS. E Rev. D Eclipse Used
      MRC Materials Research A116367 RF Power Monitor HT57Y301KA Eclipse Used Working
      AMAT Applied Materials 0100-09386 DPA Interlock PCB Precision 5000 P5000 Used
      MRC Materials Research A118145 RF Power Adjustment Module Eclipse Used Working
      MKS Instruments ETVA-24591 Exhaust Throttle Valve TEL Lithius Used Working
      MRC Materials Research 884-54-000 Process Control Interface POS. 2 Eclipse Used
      TEL Tokyo Electron 2981-600386-13 EXT DIO Board PCB 2908-600386-12 ACT12 Used
      RadiSys Corp 879-8103-002-A Circuit Board PCB ASML Used Working
      TEL Tokyo Electron 2981-600395-11 I/F BOARD #005 PCB 2908-600395-11 ACT12 Used
      Brooks Automation TLG-I2-FL6M-01 Transponder Reader with ANT-ID2-INFIXR6M Used
      Taiyo NP8134R201-1 Circuit Board PCB CONN BLT/L Used Working
      GSI Lumonics E11-13209-7 X-Y Scanner PCB Rev. D KLA-Tencor CRS-3000 Used Working
      GaSonics A95-108-02 LED and Interface Control Panel PCB Rev. J A89-013-01 Used
      GaSonics A95-107-01 LED and Interface Control Panel Rev. F Used Working
      Nikon 4S018-225 NSR System PCB Connector Input Output Board WL3MTR3 Used Working
      GaSonics A95-108-02 LED and Interface Control Panel PCB Rev. F A89-013-01 Used
      GaSonics A95-107-01-HV LED and Interface Control Panel Used Working 
      SAGInoMIYA SJV-1504GQ171 Motorized Solenoid Valve Lot of 2 Used Working
      GaSonics A95-108-02 LED and Interface Control Panel PCB Rev. H A89-013-01 Used
      GaSonics A95-107-01 LED and Interface Control Panel Rev. H Used Working 
      Hitachi EC1 TEMP Right Temperature Control Module M-712E CTE11-01 REX-F7 Used
      Lam Research 715-130080-008 200mm Wafer End Effector Rev. BC 4420 Etcher Used
      Lam Research 853-013575-002 Heated Interconnect Manifold Assembly 4420 Used
      Opal 70317875200 SMC/M Vacuum Board AMAT SEMVision Used Working
      Lam Research 810-017016-1 Stepper Motor Driver PCB Card 4420 Etcher Used Working
      Lam Research 853-017163-101-3-MAIN RF Switch Box Assembly 4420 Used Working
      Digi 30001284 Rev. X Host Adapter Board Hitachi I-900SRT Used Working
      Contec PI-64L(PC) Interface Board 9860 Hitachi I-900SRT Used Working
      TEL Tokyo Electron 2985-415077-W2 SPIN SHUTTER (12-L) ASSY ACT12 300mm Working
      Nikon PSM-11520 Optical Fiber Light Source Used Working
      Lam Research 715-12165-8 B 200mm Wafer End Effector 4420 Etcher Used Working
      Lam Research 714-013218-001 RF Cover Plate Set 714-013219-001 4420 Lot 4 Used
      Sanyo MPX-CD92 9-Channel Multiplexer Used Working
      Edwards E2M1 Vacuum Rotary Vane Pump ASEA M056LX2 Untested As-Is
      TEL Tokyo Electron 2981-600652-W1 CSS #01 Board ACT8 Used Working
      Hitachi 1-815631 200mm Robot Wand New
      KB Electronics KBPI-240D (3736) DC Motor Indexing Control PENTA-DRIVE Used
      Contec PIO-32/32L(PCI) Isolated Digital I/O Board PCB 7097A Used Working
      Komatsu 30022730 NOP OM-P Processor Board PCB CADK00360 Used Working
      Hitachi PM02-1 Processor Control Board PCB Card M-511E Used Working
      Hitachi MM96-2 Processor Control Board PCB Card M-511E Used Working
      Cosel 504136900T AC/DC Adjustable Voltage Power Supply AD960-30 Used Working
      Asyst Technologies ABX-78355-26-00 48V Control Board PCB 06764-005 Used Working
      Hitachi A008-2 Processor Control Board PCB Card M-511E Used Working
      Hitachi A008-2 Processor Control Board PCB Card M-511E Used Working
      Sanyo Denki PY2B050C62S8P01 Servo Amplifier BL Super PY TEL Lithius Used Working
      Nikon RTB01-100 LED Indicator Display Board PCB with Harness Used Working
      Hitachi RYB308N-1 Processor Control Board PCB Card M-511E Used Working
      Hitachi RYBXC-1 Processor Control Board PCB Card M-511E Used Working
      GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. D A-2000LL Used Working
      Oriental Motor 4RK25GN-CW2M AC Magnetic Brake Motor Gearhead 4GN25S Used Working
      Diamond Systems EMERALD-MM V4B Digital I/O PCB Board 710145 KLA CRS-3000 Used
      Hitachi HT94218A Processor PCB Card PM1 Ver. A Used Working
      GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. A A-2000LL Used Working
      GaSonics A95-108-02-R-D LED and Interface Panel PCB A89-013-01 A-2000LL Used
      Sanyo Denki R2AA08075FBP77M AC Servo Motor Sanmotion R Hirata HQPLP-2DHP Used
      MRC Materials Research 884-54-000 Analog Process PCB POS. 3 Rev. B+ Eclipse Used
      GaSonics A95-108-02-R-G LED and Interface Panel PCB A89-013-01 A-2000LL Used
      Advanced Motion Controls PC3XD-PF1 X03 Pre-Aligner PCB Card Used Working
      MKS Instruments 122AA-00100AB Baratron Pressure Transducer Used Working
      MRC Materials Research 885-11-000 Analog Process PCB POS. 2 Rev. D Eclipse Used
      GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. C A-2000LL Used Working
      Electroglas 102944-010 Motion Control PCB Card Rev. AE 200mm 4085X Horizon Used
      Hitachi HT94219A Processor PCB Card PI01 Ver. A Used Working
      MRC Materials Research 884-54-000 Analog Process PCB POS. 1 Rev. B Eclipse Used
      Nor-Cal Products CSVP-2502-CF-SA Copper Seal Angle Valve Used Working
      Panasonic CCD/CCU Video Module LSC BP22S-MJ Used Working
      Anorad 73777 Brushless Servo Amplifier SMA8415-1C54-003-1A-1-01 Used Working
      Edwards C5168 High Vacuum Adapter Tube Tee ISO160 to ISO100 NW25 Used Working
      View Engineering 2109385-507A Energy Conversion Unit PCB Used Working
      RS Roper Scientific RTE/CCD-1300-Y/HS UV CCD Mircroscope Camera Used Working
      SMC AMR3000-02S Pneumatic Manifold Panasonic LSC BP225-MJ Used Working
      Leica Microsystems 020-654.085-000 Microscope Beamsplitter 761524 Used Working
      GCA 66635-14 Circulating System Tegal Precision Scientific Not Working As-Is
      Hitachi KP-F100 Digital CCD Monochrome Camera Used Working
      Verteq Power Supply Sunburst Megasonic Suburst-Turbo Cleaner Used Working
      SMC 0010-26749 Gate Valve Actuator 300mm Rev. 002 Copper Exposed Used Working
      M25 Thermo Neslab 262112030000 Chiller Tested Not Working As-Is
      Hitachi RYX-2 Memory Board PCB M-511E Used Working
      Berkeley 100-000-721-01 Servo Motor MTR 300w BRSH W/STD ENCOR.R2 Novells Used
      Hitachi PTPA-01 PCB M-511E Lot of 2 Used Working
      Okaya 3SUP-H100H-ER-4 Noise Filter Reseller Lot of 2 Used Working
      Hitachi BBS511-1 15-Port PCB M-511E Used Working

    在线询盘/留言
  • 0571-87774297